Photovoltaics on silicon

Abstract
Structures including crystalline material disposed in openings defined in a non-crystalline mask layer disposed over a substrate. A photovoltaic cell may be disposed above the crystalline material.
Description
FIELD OF THE INVENTION

The technology disclosed herein relates generally to photovoltaic devices (PVDs).


BACKGROUND AND SUMMARY

Conventional PVDs generally fall into two categories—a first type that uses Si substrates and Si-based materials for active photovoltaic (PV) regions and a second type that uses Ge or GaAs substrates with one or more active PV regions constructed using lattice-matched III-V compound semiconductor materials. Conventional Si-based PVDs generally have lower conversion efficiency than PVDs based on Ge or GaAs substrates (e.g., 10-15% vs. 20-40%). Si-based PVDs are less expensive to make than Ge or GaAs-based PVDs. PVDs built on Si substrates generally cost less than PVDs built on Ge or GaAs substrates. But PVDs built on Ge or GaAs substrates generally have higher performance (efficiency) than PVDs built on Si substrates.


The development of high-efficiency III-V compound solar cells grown on Si substrates is of particular interest for space and terrestrial PVDs. In comparison with GaAs and Ge, the currently the dominant substrates for III-V space photovoltaics, Si possesses far superior substrate properties with respect to mass density, mechanical strength, thermal conductivity, cost, wafer size and availability. However, the fundamental material incompatibility issues between III-V compounds and Si still represent a substantial hurdle for achieving high-performance Si-based III-V PV cells. These material incompatibility issues include lattice mismatch between III-V compounds and Si and a large mismatch in thermal expansion coefficiency. The high density of dislocations that occur at interfaces between Si and III-V compounds leads to low minority-carrier lifetime and results in low open-circuit voltages. To date, progress has been made in reducing GaAs dislocation density by employing various epitaxial schemes such as cycle thermal annealing, epitaxial lateral overgrowth, growth on compositional graded SiGe buffers, and insertion of strained layer superlattices. See, respectively, M. Yamaguchi, A. Yamamoto, M. Tachikawa, Y. Itoh and M. Sugo, Appl. Phys. Lett. 53 2293 (1998); Z. I. Kazi, P. Thilakan, T. Egawa, M. Umeno and T. Jimbo, Jpn. J. Appl. Phys. 40, 4903 (2001); M. E. Groenert, C. W. Leitz, A. J. Pitera and V. Yang, Appl. Phys. Lett. 93 362 (2003); and N. Hayafuji, M. Miyashita, T. Nishimura, K. Kadoiwa, H. Kumabe and T. Murotani, Jpn. J. Appl. Phys., 29, 2371 (1990), all incorporated by reference herein. Unfortunately, these methods generally require relatively thick transition layers—typically greater than 10 micrometers (μm)—before device quality materials are obtained, which is not viable for practical applications.


Aspect ratio trapping (ART) technology enables the growing of high quality lattice-mismatched materials such as Ge or GaAs (or other III-V materials) on Si substrates, as described in U.S. patent application Ser. Nos. 11/436,198, 11/728,032, and 11/852,078, all incorporated by reference herein. Using Si substrates and ART technology to provide relatively high quality Ge or GaAs layers allows for building PVDs that have the advantages of Si substrates (e.g., cost, manufacturing, thermal performance, radiation resistance, strength) as well as advantages of PVDs built using Ge or GaAs substrates (e.g., high efficiency).


An advantage of using ART for PV is that isolating ART regions from each other prevents the performance of a cell from being affected by a problematic neighboring cell, e.g., by recombination of electrons and holes due to defects. Another advantage of ART for PVs is that it allows the combination of two materials that have mismatched thermal expansion properties, such as Si and III-V materials. As with the trapping of defects in the ART region, expansion mismatch and associated challenges are confined to small areas.


In an aspect, embodiments of the invention feature a structure for use in photovoltaic applications. The structure includes a mask layer that is disposed above the top surface of a substrate. The mask layer includes a non-crystalline material, and has an opening extending from the top surface of the mask layer to the top surface of the substrate. A crystalline material may be disposed in the opening and may have a first region disposed above and proximal to a portion of the top surface of the substrate. A second region of the crystalline material, which may have substantially fewer defects than the first region, may be disposed above the first region and the top surface of the substrate. A photovoltaic cell may be disposed above the crystalline material.


One or more of the following features may be included. The substrate may include at least one of monocrystalline silicon, e.g., (111) silicon, polycrystalline silicon, or amorphous silicon. The substrate may include a material having a lattice mismatch with the crystalline material. The mask layer may include an oxide of silicon and/or a nitride of silicon, and may include first and second layers made of different chemical compositions. The crystalline material may include a III-V compound, e.g., a III-nitride material, a II-VI compound, or a group IV element or compound.


The photovoltaic cell may include one or more of a multi junction photovoltaic cell, an active cell junction above and/or below the top surface of the mask layer, or an active cell junction below the top surface of the substrate. The active cell junction below the top surface of the substrate may have a shape corresponding to a shape defined by an intersection of the opening in the mask layer and the top surface of the substrate. The active cell junction below the top surface of the substrate may include a doped layer proximal to the top surface of the substrate. The active cell junction below the top surface of the substrate may include a doped layer proximal a bottom surface of the substrate. An electrical contact may be disposed on a bottom surface of the substrate.


The opening may define a generally circular shape and/or a generally rectangular shape on the top surface of the substrate. The shape may have a length less than about 1 micrometer or greater than about 1 millimeter, and a width less than or equal to about 1 micrometer. The distance from the top surface of the mask layer at the opening to the top surface of the substrate may be less than or greater than about 1 micrometer in height, and the height may be greater than the width. The length may be greater than twice the width, and the width may be between about 100 nanometers to about 1 micrometer, between about 10 nanometers and about 50 nanometers, or between about 50 nanometers and about 100 nanometers.


In another aspect, an embodiment of the invention includes a structure for use in photovoltaic applications. The structure includes a substrate and a mask layer disposed above a top surface of the substrate. The mask layer may include a non-crystalline material and may have a plurality of openings extending from a top surface of the mask layer to the top surface of the substrate. A crystalline material, which may be disposed in and above the plurality of openings, may have a portion disposed above the openings having substantially fewer defects than a second portion of the crystalline material disposed within the openings and adjacent to the top surface of the substrate. A photovoltaic cell may be disposed above the crystalline material.


In various embodiments, the crystalline material includes at least one of a III-V compound, a II-VI compound, or a group IV element or compound. The III-V compound may include a III-nitride material. The mask layer may include an oxide of silicon and/or a nitride of silicon. The photovoltaic cell may include an active cell junction below the top surface of the substrate and/or a multi junction photovoltaic cell. The crystalline material may be disposed adjacent a top surface of the substrate, and an intermediate crystalline material may be disposed in the plurality of openings between the crystalline material and the substrate. At least one opening may define a generally circular shape on the top surface of the substrate, and the shape may have a length and a width, and the width may be less than about 1 micrometer. The length may be less than about 1 micrometer or greater than about 1 millimeter.


Each of the plurality of openings may have a height defined by the distance from the top surface of the mask layer to the top surface of the substrate, and each height may be less than or greater than about 1 micrometer. Each of the plurality of openings may define a shape on the top surface of the substrate, each shape may have a length and a width, and each width may be between about 100 nanometers and about 1 micrometer, between about 50 nanometers and about 100 nanometers, or between about 10 nanometers and about 50 nanometers. The length of each of the plurality of openings may be greater than twice the width of each of the plurality of openings. Each of the plurality of openings has a height defined by a distance from the top surface of the mask layer to the top surface of the substrate, and the height of each opening may be greater than or less than the width of each opening.


In yet another aspect, an embodiment of the invention includes a structure for use in photovoltaic applications. The structure includes a mask layer including a non-crystalline material, disposed above a top surface of a substrate. An opening may extend from a top surface of the mask layer to the top surface of the substrate, and may define a shape on the top surface of the substrate having a width less than about 1 micrometer and a length. A crystalline material may be disposed in the opening, and a photovoltaic cell, which may have at least one active cell junction having a width less than about 1 micrometer, may be disposed above the crystalline material.


One or more of the following features may be included. The length may be less than about 1 micrometer or greater than about 1 millimeter. The opening may have a height, which may be less than or greater than about 1 micrometer, defined by a distance from the top surface of the mask layer to the top surface of the substrate. The width may be between about 100 nanometers and about 1 micrometer, between about 50 nanometers and about 100 nanometers, or between about 10 nanometers to about 50 nanometers. The height may be greater than the width.


The photovoltaic cell may include a multi junction photovoltaic cell, one or more active cell junctions disposed above and/or below the top surface of the mask layer, or an active cell junction disposed below the top surface of the substrate. The crystalline material may include at least one of a III-V compound, a II-VI compound, or a group IV element or compound, and the III-V compound may include a III-nitride material. The mask layer may include an oxide of silicon and/or a nitride of silicon. An intermediate crystalline material may be disposed in the plurality of openings between the crystalline material and the substrate. The intermediate crystalline material may include at least one of a III-V compound, a II-VI compound, or a group IV element or compound. The intermediate crystalline material may be disposed adjacent to or in the opening adjacent to the top surface of the substrate and may have a thickness sufficient to permit a majority of defects arising in the intermediate crystalline material near the top surface of the substrate to exit the intermediate crystalline material at a height below the top surface of the mask layer.


In still another aspect, an embodiment of the invention includes a structure for use in photonic applications. A mask layer is disposed above a top surface of a substrate and includes an opening extending from a top surface of the mask layer to the top surface of the substrate. A crystalline material may be disposed in the opening, and a photonic device, which may have an active junction including a surface that extends in a direction substantially away from the top surface of the substrate, may be disposed above the crystalline material.


One or more of the following features may be included. The surface of the active junction is substantially perpendicular to the top surface of the substrate. The opening may define a generally columnar shape or a shape on the top surface of the substrate having a length and a width, and the width may be approximately equal to the length, less than half the length, or less than one tenth the length. The photonic device may include one or more active junctions having a sidewall surface that extends substantially away from the top surface of the substrate and that may be coupled to a top surface. The active junction surfaces may be substantially perpendicular to the top surface of the substrate. Each active junction may include a sidewall surface extending in a direction substantially away from the top surface of the substrate and coupled to a top surface. Each active junction may include a portion adjacent the top surface of the mask layer, and the mask layer may electrically isolate each active junction from the substrate. A portion of the active junction may be adjacent the top surface of the mask layer and the mask layer may electrically isolate the active junction from the substrate.


The crystalline material may include a III-V compound, a II-VI compound, and/or a group IV element or compound. The III-V compound may include a III-nitride material. The top surface of the mask layer may be substantially optically reflective. An active cell junction may be disposed below the top surface of the substrate, and may include a doped layer proximal to the top and/or bottom surface of the substrate. The photonic device may include a photovoltaic device, a plurality of multi junction photovoltaic devices, an LED, and/or a plurality of LEDs connected in parallel.


In another aspect, embodiments of the invention include a structure. A first semiconductor material, disposed on a substrate, includes a sidewall extending away from the substrate. A second semiconductor layer may be disposed on a portion of the sidewall to define an active device junction region. A mask layer, which may be disposed on the substrate adjacent to a bottom region of the sidewall, may electrically isolate the second semiconductor layer from the substrate.


One or more of the following features may be included. A third semiconductor layer may be disposed on the second semiconductor layer, and the mask layer may electrically isolate the third semiconductor layer from the substrate. The mask layer may define an opening, through which the first semiconductor material may be disposed on the substrate, having a sidewall extending a predefined height from a top surface of the mask layer to a top surface of the substrate. The opening may define a width and a length adjacent the top surface of the substrate, and the ratio of the height to the width may be greater or less than 1. The first semiconductor material may include at least one of a III-V compound, a II-VI compound, or a group IV element or compound, and the III-V compound may include a III-nitride material. The structure may include a photonic device, and the photonic device may include the active device junction region. The photonic device may include a photovoltaic device, a plurality of multi-junction photovoltaic devices, an LED, and/or a plurality of LEDs connected in parallel.


In still another aspect, embodiments of the invention include a structure. A first photonic device structure is disposed above a first region of a substrate and including a first top surface and a first uncovered sidewall surface. A second photonic device structure may be disposed above a second region of the substrate, may be spaced apart from the first structure, and may include a second top surface and a second uncovered sidewall surface. A conductive layer may extend from the first top surface of the first photonic device structure to the second top surface of the second photonic device structure, above the first and second uncovered sidewall surfaces of the first and second structures, to electrically couple the first and second top surfaces. The conductive layer may include aluminum (Al), silver (Ag), titanium (Ti), tin (Sn), chromium (Cr), and/or Si.


In another aspect, embodiments of the invention include a structure for use in photonic applications. A mask layer is disposed on a top surface of a substrate. The mask layer has a top surface, and a maximum height between the top surface of the substrate and the top surface of the mask of less than about 1 micrometer. A plurality of openings extend from the top surface of the mask to the top surface of the substrate, and may have a maximum width in one direction of less than about one micrometer. A crystalline material is disposed in each of the openings on the top surface of the substrate, and has a height sufficient to permit a majority of defects arising from the interface between the crystalline material and the substrate to exit the crystalline material below the top surface of the mask.


In yet another aspect, embodiments of the invention include a structure for use in photovoltaic applications. A photovoltaic device may be disposed on the top surface of the substrate, and may have a length and a width in a plane defined by the top surface of the substrate. The width may be approximately equal to a wavelength of light selected from a range of 300 nanometers (nm) to 1800 nm.


One or more of the following features may be included. The length may be approximately equal to a wavelength of light selected from a range of 300 nm to 1800 nm, and/or the length may be greater than 1 millimeter. The width may be approximately equal to 300 nm, to 1800 nm, or to a wavelength of light visible to a human eye selected from a range of about 300 nm to about 1800 nm. The photovoltaic device may include an active cell junction extending substantially away from the plane of the substrate.


In another aspect, embodiments of the invention include a structure for use in photonic applications. A plurality of spaced-apart photonic devices may be disposed within a device perimeter on a top surface of a substrate and may define a substrate surface area. The top surface may define a plane. Each photonic device may include an active device junction between two semiconductor materials defining an active junction surface area. The combined active surface areas of the plurality of photonic devices may be greater than the substrate surface area defined by the device perimeter.


The combined active surface areas of the plurality of photonic devices may be at least about 25% greater, 50% greater, 100% greater, 200% greater, or 400% greater than the substrate surface area defined by the device perimeter.


In another aspect, embodiments of the invention include a method of forming a structure for photonic applications. The method includes providing a non-crystalline mask over a top surface of a substrate. The mask may include a first layer disposed above and proximal to a portion of the top surface of the substrate and a second layer disposed above the first layer and above the portion of the top surface of the substrate, and the first and second layers may have a different chemical compositions. A crystalline material may be formed within an opening extending from a top surface of the mask to the top surface of the substrate to a height sufficient to permit the majority of defects arising near the interface of the crystalline material and the substrate to exit the crystalline material below the top surface of the mask layer. The second layer of the mask may be selectively removed, and a photonic device may be formed above the crystalline material.


One or more of the following features may be included. The first mask layer may include an oxide of silicon and the second mask layer may include a nitride of silicon. Forming the photonic device may include forming at least one of a multi junction photovoltaic device or a light emitting device. The photonic device may have a width of less than about one micrometer, less than about 100 nanometers, selected from a range of about 100 nanometers to about 500 nanometers, or selected from a range of about 10 nanometers to about 100 nanometers. The first mask layer may include a substantially optically reflective material or a substantially optically transparent material.


In still another aspect, embodiments of the invention include a method of forming a structure for photonic device applications. A mask layer is provided adjacent a top surface of a substrate, including at least one opening extending through the mask layer to the substrate. An intermediate crystalline material may be formed in the at least one opening, and may have a sidewall and a top surface. The material having the top surface may have a height sufficient to permit a majority of defects arising from the interface between the substrate and the first crystalline material to exit the first crystalline material below the top surface. A crystalline material may be disposed on the intermediate crystalline material to create an active photonic junction that includes sidewall regions extending in a direction away from the top surface of the substrate.


One or more of the following features may be included. Providing the first crystalline material may include providing at least one of a III-V compound, a II-VI compound, or a group IV element or compound; the III-V compound may include a III-nitride material. Providing a mask layer may include providing first and second mask layers, and may further include removing the second mask layer after forming the first crystalline material in the at least one opening. The method may further include disposing at least one third crystalline material around the crystalline material to create a second active photonic junction including a sidewall region extending in a direction away from the top surface of the substrate. The intermediate crystalline material may include a columnar sidewall or a generally planar sidewall. The generally planar sidewall may have a height generally perpendicular to the substrate and a width perpendicular to the height, and the width may be at least twice the height, at least ten times the height, or at least one hundred times the height.


The method may further include forming a photonic cell that includes the active cell junction, forming a photovoltaic cell including the active cell junction, forming a multi junction photovoltaic cell comprising the active cell junction, or forming an active cell junction below the top surface of the substrate. The photovoltaic cell may include an active cell junction disposed below the top surface of the substrate.





BRIEF DESCRIPTION OF FIGURES

In the drawings, like reference characters generally refer to the same features throughout the different views. Also, the drawings are not necessarily to scale, emphasis instead being placed upon illustrating the principles of the invention.



FIG. 1a is a schematic cross-sectional view of device formed by selective growth of a semiconductor material on a lattice-mismatched trenched surface by ART in accordance with an embodiment of the invention;



FIG. 1b is a schematic top view of openings disposed over a top surface of a substrate;



FIG. 2 is a schematic cross-sectional view of a two junction solar cell module formed after coalescence growth in accordance with another embodiment of the invention;



FIG. 3 is a schematic cross-sectional view of a one junction solar cell module formed without coalescence growth in accordance with another embodiment of the invention;



FIG. 4 is a schematic cross-sectional view of a photovoltaic device formed over a coalesced layer in a one-step epitaxy process;



FIGS. 5a-5c are a schematic cross-sectional views of a photovoltaic device formed over a coalesced layer in a two-step epitaxy process including planarization;



FIGS. 6a-6b and 7a-7c are schematic cross-sectional views of devices formed over coalesced layers in which a crystalline material includes a material from the same group as a component of a substrate;



FIGS. 8a-8c and 9a-9d are schematic cross-sectional views illustrating processes combining homo-epitaxial and hetero-epitaxial growth;



FIG. 10 is a schematic cross-sectional view illustrating a method for forming a virtual wafer by bonding;



FIGS. 11-13 are schematic cross-sectional views illustrating the formation of arrays of PVDs;



FIG. 14 is a cross-sectional view illustrating the formation of an array of PVDs on a Si(111) substrate;



FIGS. 15-17 are schematic cross-sectional views illustrating alternative features that may be incorporated in the structures illustrated in FIGS. 4-14;



FIGS. 18 and 19 are schematic cross-sectional views illustrating exemplary ART-based dual junction cells; and



FIG. 20 is a flow chart illustrating a process flow for forming a photovoltaic device by ART.





DETAILED DESCRIPTION

A significant feature of embodiments of the present invention is the provision of a pathway to overcome the material incompatibility between lattice-mismatched materials, such as III-V compounds formed on a Si substrate. This approach is based on ART technology for selective epitaxy. See, e.g., U.S. patent application Ser. No. 11/436,062.


Referring to FIGS. 1a and 1b, an insulating material such as SiO2, is used to define openings, e.g., trenches, above a semiconductor substrate. Semiconductor substrate may include, e.g., silicon. The openings are configured using ART techniques so that when a lattice-mismatched material, e.g., GaAs is epitaxially grown, defects in the lattice-mismatched GaAs layer are trapped in the lower region of the trenches and the GaAs region at the top of the trenches is relatively defect free.


More specifically, a substrate 10 includes a first crystalline semiconductor material S1. The substrate 10 may be, for example, a bulk silicon wafer, a bulk germanium wafer, a semiconductor-on-insulator (SOI) substrate, or a strained semiconductor-on-insulator (SSOI) substrate. The substrate 10 may include or consist essentially of the first semiconductor material S1, such as a group IV element, e.g., germanium or silicon. In various embodiments, substrate 10 includes or consists essentially of monocrystalline silicon, e.g., (111) silicon or p- or n-type (100) silicon; polycrystalline silicon; or amorphous silicon. In other embodiments, substrate 10 may include or consist essentially of a group IV compound, III-V compound or a II-VI compound.


A mask layer 35 is formed over the semiconductor substrate 10. The mask layer 35 may include or consist essentially of a non-crystalline material, such as dielectric material, e.g., a nitride of silicon like silicon nitride or an oxide of silicon like silicon dioxide. The mask layer 35 may be formed by any suitable technique, e.g., thermal oxidation or plasma-enhanced chemical vapor deposition (PECVD). As discussed below, the mask layer may have a thickness t1 corresponding to a desired height h of crystalline material to be deposited in an opening 30, e.g., trench formed through the mask layer. In some embodiments, the thickness t1 of the mask layer 35 may be selected from a range of, e.g., 25-1000 nm. In a preferred embodiment, the thickness t1 is 500 nm.


A mask (not shown), such as a photoresist mask, is formed over the substrate 10 and the mask layer 35. The mask is patterned to expose at least a portion of the underlying mask layer 35. The exposed portion of the mask layer 35 is removed by, e.g., reactive ion etching (RIE) to define an opening 30, e.g., a trench. The opening 30 may be defined by at least one sidewall 25, and may extend to a top surface 15 of the substrate 10. The height h of the sidewall 25 corresponds to the thickness t1 of the mask layer 35, and may be at least equal to a predetermined distance H from the top surface 15 of the substrate. The height h may be less than about 1 micrometer. In another embodiment, the height h may be greater than about 1 micrometer. The height h may be greater than the width w of the opening. The width w of the opening may be selected from a range of about 100 nanometers to about 1 micrometer, e.g., from a range of about 10 nanometers to about 50 nanometers, or from a range of about 50 nanometers to about 100 nanometers.


In an embodiment, the opening 30 is a trench that may be substantially rectangular in terms of cross-sectional profile, a top view, or both, and have a width w that is smaller than a length l (not shown) of the trench. For example, the width w of the trench may be less than about 500 nm, e.g., about 10-100 nm, and the length l of the trench may exceed each of w and H. A ratio of the height h of the trench to the width w of the trench 30 may be ≥0.5, e.g., ≥1.


In other embodiments, the opening 30 may define a generally circular shape or a generally rectangular shape on the top surface of the substrate. The opening may define a generally columnar shape. The opening 30 may have a length l and a width w3, the width being less than or equal to about 1 micrometer. The length may be less than about 1 micrometer, or may be greater than about 1 millimeter. The length may be greater than twice the width, i.e., the width may be less than half the length. The width may be less than one tenth the length.


The opening 30 may be one of a plurality of openings 30.


A second crystalline semiconductor material S2, i.e., a crystalline material 40, is formed in the opening 30. The crystalline material 40 may include or consist essentially of a group IV element or compound, a III-V compound, or a II-VI compound. Examples of suitable group IV elements or compounds include germanium, silicon germanium, and silicon carbide. Examples of suitable III-V compounds include gallium antimonide, gallium arsenide, gallium phosphide, aluminum antimonide, aluminum arsenide, aluminum phosphide, indium antimonide, indium arsenide, indium phosphide, and their ternary or quaternary compounds. Suitable III-V compounds may include III-nitrides, such as gallium nitride, aluminum nitride, and indium nitride. Examples of suitable II-VI compounds include zinc selenide, zinc sulfide, cadmium selenide, cadmium sulfide, and their ternary or quaternary compounds.


In some embodiments, an intermediate crystalline material (not shown) may be disposed in the opening between the crystalline material 40 and the substrate, such that the intermediate crystalline material is disposed adjacent to the top surface of the substrate and has a thickness sufficient to permit a majority of defects arising in the intermediate crystalline material near the top surface of the substrate to exit the intermediate crystalline material at a height below the top surface of the mask layer.


The crystalline material 40 may be formed by selective epitaxial growth in any suitable epitaxial deposition system, including, but not limited to, metal-organic chemical vapor deposition (MOCVD), atmospheric-pressure CVD (APCVD), low-(or reduced-) pressure CVD (LPCVD), ultra-high-vacuum CVD (UHCVD), molecular beam epitaxy (MBE), or atomic layer deposition (ALD). In the CVD process, selective epitaxial growth typically includes introducing a source gas into the chamber. The source gas may include at least one precursor gas and a carrier gas, such as, for example, hydrogen. The reactor chamber may be heated by, for example, RF-heating. The growth temperature in the chamber may range from about 300° C. to about 900° C., depending on the composition of the crystalline material. The growth system may also utilize low-energy plasma to enhance the layer growth kinetics.


The epitaxial growth system may be a single-wafer or multiple-wafer batch reactor. Suitable CVD systems commonly used for volume epitaxy in manufacturing applications include, for example, an Aixtron 2600 multi-wafer system available from Aixtron, based in Aachen, Germany; an EPI CENTURA single-wafer multi-chamber systems available from Applied Materials of Santa Clara, Calif.; or an EPSILON single-wafer epitaxial reactor available from ASM International based in Bilthoven, The Netherlands.


The crystalline material 40 may be lattice-mismatched to the substrate 10. In some embodiments, the crystalline material 40 is selected from a different group than the material of substrate 10. For example, substrate 10 may include a group IV element, e.g., Si, and the crystalline material 40 may include a III-V compound, e.g., GaAs.


In an exemplary process, a two-step growth technique is used to form high-quality crystalline material 40, e.g., consisting essentially of GaAs, in the opening 30. First, the substrate 10 and mask layer 35 are thermally annealed with hydrogen at approximately 1000° C. for approximately 10 minutes to desorb a thin volatile oxide from that substrate surface 15 that may be produced during pre-epitaxy wafer preparation. Chamber pressure during annealing may be in the range of approximately 50-100 torr, for example 75 torr. After annealing, the chamber temperature is cooled down with hydrogen flow. In order to suppress anti-phase boundaries (APDs) on substrate surface 15, a pre-exposure to As for about 1 to 2 minutes is performed. This step helps ensure uniform coverage of the trench surface with an As—As monolayer. This pre-exposure is achieved by flowing arsine (AsH3) gas through the reactor at a temperature of approximately 460° C. Then, the precursor triethylgallium (TEG) or trimethylgallium (TMG) is introduced into the chamber together with AsH3 gas at a higher growth temperature, e.g., approximately 500° C. to 550° C. promote the initial GaAs nucleation process on the As pre-layer surface. This high temperature process helps ensure that the Ga atoms are sufficiently mobile to avoid GaAs cluster formation. A slow growth rate of about 2 to 4 nm per minute with VIII ratio of about 50 may be used to obtain this initial GaAs layer, with a thickness in the range of about 10 to 100 nm.


Then a layer of n-type GaAs having a thickness of 1 to 2 μm is grown at a constant growth temperature of approximately 680° C. and a VIII ratio of approximately 200 to obtain defect-free GaAs material inside the opening 30. During this step, the crystalline material 40, i.e., GaAs epitaxial layer, may be formed such that its thickness t2 may be greater than the dielectric mask thickness t1. The crystalline material 40 may have a mushroom-type cross-sectional profile with lateral over growth over the mask layer 35; the top portion of the crystalline material 40 may coalesce with crystalline material formed in neighboring trenches (not shown) to form an epitaxial layer. A width w2 of the crystalline material 40 extending over a top surface 45 of the mask layer 35 may be greater than the width w of the opening 30. In this case, a small void may be formed between the laterally grown crystalline material layer and the top surface 45 of the mask layer 35. The overall layer thickness t2 of the crystalline material 40 may be monitored by using pre-calibrated growth rates and in situ monitoring equipment, according to methods known in the art.


Dislocation defects 20 in the crystalline material 40 reach and terminate at the sidewalls of the opening 30 in the dielectric material 35 at or below the predetermined distance H from the surface 15 of the substrate, such that dislocations in the crystalline material 40 decrease in density with increasing distance from the bottom portion of the opening 30. Accordingly, the upper portion of the crystalline material is substantially exhausted of dislocation defects. Various dislocation defects such as threading dislocations, stacking faults, twin boundaries, or anti-phase boundaries may be substantially eliminated from the upper portion of the crystalline material.


Thus, in some embodiments, the crystalline material 40 has a first region 40a disposed above and proximal to a portion of the top surface of the substrate 10 and a second region 40b disposed above the first region and above the portion of the top surface of the substrate, with the second region 40b having substantially fewer defects than the first region 40a. In other words, the crystalline material 40 may have two portions: a lower portion for trapping dislocation defects and an upper portion that either (i) incorporates the PV cell's epitaxial layers or (ii) serves as a template for the subsequent epitaxial growth of the PV cell's epitaxial layers. The height h of the crystalline material 40 thus has two components: the height htrapping of the lower portion (where defects are concentrated) and the height hupper of the upper portion (which is largely free of defects). The height htrapping of the trapping portion may be selected from a range of about ½ w≤htrapping≤2w, to ensure effective trapping of dislocation defects. The actual value of htrapping required may depend upon the type of dislocation defects encountered, which may depend on the materials used, and also upon the orientation of the trench sidewalls. In some instances, the height htrapping can be greater than that required for effective defect trapping, in order to ensure that the dislocation defects are trapped at a sufficient distance away from the upper portion, so that deleterious effects of dislocation defects upon device performance are not experienced. For example, htrapping may be, e.g., 10-100 nm greater than required for effective trapping of defects. For the upper portion, the height hupper may be selected from the range of approximately ½ w≤hupper≤10w.


In the embodiment of FIG. 1a and referring also to FIG. 4, epitaxial growth of the crystalline material 40 continues so that the crystalline material 40 within the opening 30 grows out and coalesces with crystalline material 40 formed in adjacent openings 30 to form a single contiguous crystalline material, e.g., GaAs, layer 50 above the openings in the mask layer. PVDs (also called referred to herein as “solar cells”) are then built above the crystalline material using various materials and techniques as are known in the art. For example, see Ringel, et al., Single junction InGaP/GaAs Solar Cells Grown on Si Substrates with SiGe Buffer Layers (Prog. Photovolt: Res. Appl 2002; 10:417-426 (DOI: 10.1002/pip.448)), Yamaguchi, et al., Super-high-efficiency Multi junction Solar Cells (Prog. Photovolt: Res. Appl. 2005; 13:125-132 (DOI: 10.1002/pip.606)) and Yamaguchi, et al., GaAs Solar Cells Grown on Si Substrates for Space Use (Prog. Photovolt: Res. Appl. 2001; 9:191-201 (DOI:10.1002/pip.366)), all of which are incorporated by reference herein in their entireties.


A planarization step such as, e.g., CMP may be used to planarize a top surface 55 of the contiguous crystalline material layer 50, to allow the formation of good quality films thereon. Alternatively, the top surface 55 may be left unplanarized, as a rough surface may be advantageous for capturing light in some devices.


By using ART techniques to trap defects that arise when epitaxially growing lattice-mismatched crystalline material over a substrate, e.g., forming a GaAs layer over a Si substrate, the top surface 55 of the crystalline layer has a suitably low defect level for building efficient PVDs with various materials for active PV regions, such as conventional III-V crystalline materials, III-nitride compounds or group IV-VI materials. PVDs built with these materials provide performance characteristics, such as efficiency and reliability that are superior to PVDs that use polycrystalline Si for active PV regions. The use of ART-configured openings to provide a relatively defect free top surface of crystalline material upon which to build PVDs is illustrative, and various other techniques are possible to provide a lattice-mismatched layer on a substrate that has a suitably low level of defects, such as, for example, other ART techniques disclosed in the references discussed above.


Referring to FIG. 2, an exemplary photovoltaic cell is illustrated that may be formed over the structure including the crystalline material 40 discussed with reference to FIG. 1a. A photovoltaic cell, or photonic device, may be a two junction solar cell device 200 including a starting template layer 110, a first (bottom) cell 120, a tunnel junction 130, and a second (top) cell 140. In use, when solar radiation strikes the solar cell device 200, the top cell 140 and bottom cell 120 each absorbs a portion of the solar radiation and converts the energy in the form of photons of the solar radiation to useable electric energy, measured in photovoltage and photocurrent. The illustrated two junction solar cell device 200 is configured to absorb light in two incremental steps. Thus, photons in the received sun light having energy greater than the designed band gap of the active top cell, i.e., second cell 140 (e.g., greater than about 1.75 eV), will be absorbed and converted to electricity across semiconductor junction 150 or may pass through the tunnel junction 130 to the next cell, i.e., first cell 120. Photons having less energy than the designed band gap of the top second cell 140 (e.g., less than about 1.75 eV) will pass through the top cell 140 to the next active cell, i.e., first cell 120. Such lower energy sun light may be absorbed and converted to electricity across junction 155.


In an exemplary process, the solar cell device 200 may be formed as follows. Device 200 is formed by epitaxial structural growth on a template layer 110. This template layer may include crystalline material 40, e.g., either p-type or n-type GaAs (or Ge) planarized with CMP or a coalesced layer formed above a patterned mask layer 35, as discussed above with reference to FIGS. 1a and 1b. A back surface field layer (BSF) 160 including, e.g., p-InGaP, is formed over the template layer 110 for photon absorption enhancement. The solar cell device includes a GaAs base layer 165 disposed over the BSF layer 160 and having a thickness of about 2-3 μm, doped with a p-type dopant, such as zinc, to a concentration of about 1-3×1017/cm3. An emitter layer 170 of the first cell 120 may be n-type doped, e.g., GaAs doped with Si, to a concentration of about 1-2×1018/cm3 and may have a thickness of about 100 nm. A window layer 175 is formed over the emitter layer 65. The window layer 175 may include n-type InGaP doped with Si to a concentration of 1-2×1018/cm3, and may be grown to a thickness of about 100 nm. Window layer 175 is preferably an optically transparent layer that acts as an electrical barrier to avoid possible photocurrent backflow. The heavily doped tunnel junction 130, including n-type layer 177 and p-type layer 180, is used to facilitate the flow of photocurrent between top second cell 140 and first cell 120. The tunnel junction 130 may include a thin layer of any of a variety of materials that allow current to pass between first and second cells 120, 140 without generating a voltage drop large enough to significantly decrease the conversion efficiency of the device 200.


In the illustrated example, the top cell 140 is used for absorbing light with optical energy about 1.75 eV, and preferably includes a material lattice matched to GaAs, e.g., a ternary InGaP alloy. A desired band gap of top cell 140 may be realized by properly adjusting the compositional ratio of indium and gallium during InGaP growth. A p-type InGaP base layer 190 may have a thickness of about 500 nm and may be doped with zinc, to a concentration of 1-2×1017/cm3, and is disposed over a 50 nm thick bottom layer 185, which is doped to lower resistance, e.g., doped with zinc at a concentration of about 3×1018/cm3. Emitter layer 195 may include n-type InGaP with an n-type doping level of 1-2×1018/cm3 and a thickness of 100 nm. Window layer 197, disposed over layer 195, is thin enough to allow solar light pass through but thick enough to prevent photo-generated electron carrier recombination. Window layer 197 may include, e.g., a 30 nm thick AlInP window layer, doped with Si to a doping level of about 3×1017. An n-type cap layer 199, e.g., GaAs doped with Si to a doping level of 6×1018 with a thickness of about 100 nm, is used to form an ohmic contact. An electrical load (not shown) may be connected to the solar cell device 200 via grid electrical contacts on top of the solar cell device 200.


At least one active cell junction of the solar cell device may have a width w4 less than about 1 micrometer in a plane defined by the top surface of the substrate. The width w4 may be approximately equal to a wavelength of light selected from a range of 300 nm to 1800 nm, e.g., equal to 300 nm or 1800 nm. The width may be equal to a wavelength of light visible to a human eye. A length of the solar cell device may be approximately equal to a wavelength of light selected from a range of 300 nm to 1800 nm. The length may be greater than 1 millimeter. The solar cell device may include an active cell junction extending substantially away from the plane of the substrate.



FIG. 3 is a schematic cross-section drawing of another photovoltaic device that may be formed over crystalline material 40, i.e., a single solar cell device. Device 300 is a single cell structure, i.e., a cell element, confined within a micro-scaled dimension. It may be essentially completely fabricated within an opening in the mask layer 35 before coalescence growth occurs. This approach allows one to build micro- or submicrometer-scale PV cells (super lattice structure or quantum well configurations) inside localized defect-free area, which possesses advanced nano- or quantum-size characteristics in device performance.


Device 300 may be formed by epitaxy. In an exemplary process, prior to growth of device layers, a 500 nm SiO2 film is thermally deposited on substrate 10, and 0.2-0.5 μm wide openings, e.g., along [110] direction of the substrate, are formed using conventional photolithography and RIE. Post-RIE, the patterned substrate is cleaned sequentially in Piranha, SC2, and diluted HF solutions. Near-surface damage to the Si crystal resulting from the RIE process may be reduced by a sacrificial oxidation and strip procedure. For example, a 25 nm sacrificial oxide layer may be formed on the surface including the openings, and subsequently removed by diluted HF prior to epitaxial growth. As a final component to the pre-epitaxial growth cleaning procedure, the patterned substrate may be thermally cleaned in an H2 ambient at an elevated temperature, for example, 1000° C. for 10 minutes using a commercially available MOCVD reactor at 70 torr.


In an embodiment, device 300 is formed as follows. An n or p-type GaAs buffer layer 205 is epitaxially grown at about 400° C. followed by the growth of a high temperature transition layer 210 at 700° C. The growth rates may be 7 nm/minute for the buffer layer 205 and 50 nm/minute for the transition layer 210. A back surface field layer 215 for enhancing solar light photo absorption, e.g., an n-type InGaP layer with a thickness of 50 nm, may be grown under the same temperature, doped with Si with a doping level of 3×1017/cm3. A GaAs base layer 220, with a thickness of, e.g., 300-600 nm, depending on oxide layer thickness, is grown with a slightly n-type doping concentration of Si of 5×1016/cm3, followed by the formation of an emitter layer 225. Emitter layer 225 may have a thickness of 150 nm and may include GaAs with a p-type concentration of Zn of about 1×1018/cm3. Similarly to the process described with respect to FIG. 2, a top window layer 230 and a cap layer 235 for making ohmic contact are grown to finish the cell structure. In particular, the top window layer 230 may be a p-type InGaP layer doped with Zn to a doping level of 2×1018/cm3.


The single cell structure illustrated herein is a simple model. The essential concept it provides may be used to derive various alternative structures, such as multi junction submicrometer PV cells, submicron or nano-scale 2-D and 3-D III-V/Si solar cells.


The exemplary modules illustrated in FIGS. 2 and 3 and variations thereof may be used in all of the following described embodiments of the invention. To simplify the description, the two junction solar cell device 200 shown in FIG. 2 is used to illustrate the structures shown in FIGS. 4-10 and 15-17 and the single solar cell device 300 shown in FIG. 3 is used to illustrate the structures shown in FIG. 11-14.


Epitaxial growth of the exemplary cell structures shown both in FIGS. 2 and 3 is preferably performed using conventional MOCVD growth methods. Appropriate metal organic precursors include TMG, TEG, trimethylindium (TMIn) and trimethylaluminum (TMA). Hydride precursors include phosphine and arsine. Typical materials that may be used as conductivity dopants in III-V materials are zinc, cadmium, magnesium, carbon, selenium and silicon. Since carbon is a p-type dopant for GaAs but an n-type donor for InP, use of zinc as a p-type dopant for both GaAs and InGaP cells may be preferable to prevent heterointerface mixing during monolithic structural growth between GaAs and InGaP layers. The growth temperature for devices 200 and 300 are varied within the range of approximately 580-720° C., depending on individual growth reactor design.


Referring to FIG. 4, in a one-step epitaxial growth process, coalesced contiguous crystalline material layer 50 is grown to a sufficient thickness above the substrate 10 so that the surface roughness and defect levels of the coalesced contiguous crystalline material layer 50 are suitable for constructing solar cell devices 200 thereon without any need for an additional planarization step. For example, the surface roughness may be less than 100 nm, and the defect level may be less than 106/cm2 with the thickness t3 of the coalesced contiguous crystalline material layer 50 being, e.g., 2 micrometers.


Referring to FIGS. 5a-5c, in a two-step epitaxy process, after growing the crystalline material 40 to a predetermined thickness to define coalesced contiguous crystalline material layer 50 (FIG. 5a), a top surface of the coalesced layer 50 may be planarized by, e.g., CMP (FIG. 5b). This method may allow the formation of a device with a thinner underlying crystalline layer than that of a device made in accordance with the embodiment illustrated in FIG. 4. Referring to FIG. 5c, after the planarization step, in a second step, an additional layer 500, e.g., a regrowth layer including a crystalline material of the same type as the contiguous crystalline material layer 50, is regrown on top of the planarized crystalline material surface before fabrication of PVDs thereover.


The crystalline material 40 may include a material from the same group as a component of the substrate. Referring to FIG. 6a, for example, crystalline material 40 may include Ge grown in the ART-configured openings 30 defined by mask layer 35, e.g., SiO2, above substrate 10 consisting essentially of Si. The height of the Ge is less than the height of the SiO2 openings but, based on ART techniques, the height is sufficient for the top surface of the Ge layer to be relatively defect free. Referring to FIG. 6b, GaAs may then be grown above the Ge to create a coalesced contiguous crystalline material layer 50 consisting essentially of GaAs that is a suitable base for growing or depositing subsequent layers for PVD devices 200. As discussed with respect to the embodiment of FIG. 5, the GaAs contiguous crystalline material layer 50 may be planarized and regrown. The lattice-constant of Ge is relatively close to the lattice constant of GaAs, thereby reducing the density of stress-related defects in the GaAs.


Referring to FIG. 7a, in another embodiment, two growth steps are combined with planarization. Crystalline material 40, e.g., Ge, is epitaxially grown above the ART-configured openings 30 defined in masking layer 35 to form contiguous crystalline material layer 50. Referring to FIG. 7b, a planarization step, e.g., a CMP step is performed to planarize the surface of the coalesced crystalline material layer 50. Referring to FIG. 7c, a regrowth layer 700 including, e.g., GaAs, is subsequently epitaxially grown on the planarized surface of the Ge contiguous crystalline material layer 50. The regrowth layer 700 provides a foundation for the formation of PVDs 200.


In some embodiments, the masking layer 35 may be removed during processing, and additional crystalline material may be grown in the resulting openings. Referring to FIG. 8a, in a two step homo-epitaxial process, crystalline material 40, e.g., a III-V compounds such as GaAs, may be grown over substrate 10, e.g., Si, in openings 30 using ART techniques, such that defects in the crystalline material 40 exit at the sidewalls of the mask layer 35, e.g., SiO2. Then, as shown in FIG. 8b, the mask layer is selectively removed down to the substrate 10 to create new openings 30′ between the GaAs crystalline material 40 regions. Referring to FIG. 8c, additional GaAs crystalline material 40 is deposited over the substrate 10 and the already present GaAs crystalline material 40 so that the crystalline material 40 coalesces to form contiguous crystalline material layer 50 of a suitable thickness for use as a base layer for the formation of PVDs 200. As discussed with respect to other embodiments, the GaAs contiguous crystalline material layer 50 may optionally be planarized, followed by optional regrowth, before the formation of PVD 200.


Similarly to the method illustrated in FIGS. 8a-8c, FIGS. 9a-9d illustrate an alternative method in which crystalline material 40 and the substrate 10 each may include a group IV material. Referring to FIG. 9a, a group IV material, e.g., Ge, is grown in openings 30 of a mask layer 35 over a Si substrate 10 using ART techniques. As shown in FIG. 9b, the mask layer 35 is then removed to create new openings 30′ between the Ge regions. Referring to FIGS. 9c and 9d, either a III-V compound such as GaAs or a group IV material such as Ge, respectively, is grown over the existing crystalline material 40 and openings 30′ to create a coalesced contiguous material layer 50 of GaAs or Ge. The GaAs layer provides a suitable base layer for PVDs 200. In the case of a coalesced Ge layer 50, after optional planarization, a III-V material such as GaAs (not shown) may be grown to provide a suitable base layer for PVDs. The growth of high quality Ge or GaAs layers such as the coalesced layers in FIGS. 8 and 9 provide virtual Ge or GaAs wafers atop a Si substrate, which may be useful in various applications in addition to PVD applications, such as light emitting devices or laser diodes.


Referring to FIG. 10, bonding may be used to create a virtual wafer suitable for applications such as PVDs. The first step is the growth of coalesced contiguous crystalline material layer 50 including, e.g., Ge or GaAs, in windows in a mask layer 35 disposed over a first substrate 10, such as by the ART techniques discussed above and illustrated in FIGS. 5 and 7. Then a top surface 1000 of contiguous crystalline material layer 50 is wafer bonded, after an optional planarization step, to a second substrate 1010, e.g., a Si or Si on insulator (SOI) or other compatible wafer platform. A virtual Ge or GaAs wafer 1020 is created atop the second Si or SOI substrate 1010 by removing the Si and SiO2 from the first Si wafer and then using CMP to planarize the exposed bottom surface 1030 of the Ge or GaAs layer. This virtual wafer 1020 may be further processed, e.g., with growth of an additional layer 1040 of e.g., a III-V compound such as GaAs, to create a base for PVDs 200, or the virtual wafer 1020 may be used in other applications that require or benefit from a relatively thin, larger size, high quality Ge or GaAs layer disposed atop suitable template.


Referring to FIG. 11, an array 1100 of PVDs may be built by use of a two-step heteroepitaxy process. Each PV cell may include the exemplary cell structure illustrated in FIG. 3 as device 300. Openings 30 are defined in a mask layer 35 disposed over on substrate 10 using ART techniques. The openings 30 may be configured as trenches. A layer of crystalline material 40, e.g., Ge, is grown at the bottom of the trenches to a height that allows defects to exit at the sides so that the top surface of the crystalline material 40 has a low level of defects. Then layers are sequentially grown above the Ge layer within the trenches to create PV devices 300.


Note that while FIG. 11 illustrates an array of elongated PVDs grown in trenches, ART techniques enable a wide variety of configurations for arrays of PVDs, such as arrays including PVDs formed as columns with circular cross-sections or as posts with square cross-sections. ART techniques also enable a wide variety of dimensions for the elements in PVD arrays. For example, a trench can be configured to be several millimeters long with a width on the order of one or two micrometers or smaller. Decreasing the width of an ART opening can decrease the height below which most defects are trapped, enabling the use of masks having thicknesses of about less than one micrometer, although in some embodiments a mask thickness in excess of one micrometer will be advantageous. Other embodiments can take advantage of sub-micrometer widths, such as a trench having a width in the range of 100 nanometers to 1 micrometer, or between 50 and 100 nanometers, or between 10 and 50 nanometers, or even smaller than 10 nanometers, or openings to create columns or posts with a maximum dimension (parallel to the substrate) of less than one micrometer, or less than 100 nanometers, or even less than 50 or 10 nanometers. Also, decreasing widths permits decreasing mask thicknesses.


In constructing the PV devices 300, an exemplary sequence of layers includes the growth of an n-type GaAs buffer layer above an n-type Ge layer in the trenches, followed by the growth of an n-type InGaP BSF layer, an n-type GaAs base layer, a p-type GaAs emitter layer, a p-type InGaP layer, and a p-type GaAs cap layer. For multi junction cells, an exemplary sequence of layers may include the growth of an n-type Ge layer above a p-type Ge layer to create a bottom cell, followed by the growth of an n-type InGaAs buffer layer, an n-type InGaP layer, and a p-type AlGaAs layer to create a tunnel junction. Subsequently, a p-type InGaP layer, a p-type InGaAs layer, an n-type InGaAs layer, and an n-type AlInP layer are grown to create a middle cell. An n-type InGaP layer and a p-type AlGaAs layer define another tunnel junction. Finally, a p-type AlInP layer, a p-type InGaP layer, an n-type InGaP layer, and an n-type AlInP layer are formed to create a top cell. In some embodiments, an active cell junction may be disposed above a top surface of the mask layer. In other embodiments, the active cell junction may be disposed below the top surface of the mask layer. In still other embodiments, the active cell junction may be disposed below the top surface of the substrate. The materials used to create cells and tunnel junctions, parameters such as doping levels and layer thicknesses, and materials for use as anti-reflective coatings and top contacts may be varied in accordance with methods known to those of skill in the art.


The length of PVDs built using the trenches may vary and be relatively long, for example extending virtually from edge to edge of a wafer. By using suitably narrow nanoscale trenches, the PVDs can provide a nanowire-type configuration of individual PVDs in an array that can be of a flexible size and shape. The PVD cells or elements within an array may be electrically interconnected with contacts 1110 formed from any of a variety of materials such as ITO, and in a variety of configurations as desired, for example in parallel as shown in the embodiment illustrated in FIG. 11. In alternative embodiments, some sub-arrays of PVD elements may be connected in parallel and other sub-arrays in series.


The height and width of PVDs may vary based on materials and design parameters. For the first exemplary sequence of layers for PV devices 300 discussed above, for example, the height may be selected from a range of approximately 0.5-3 micrometers and the width may be selected from a range of approximately 0.5-2 micrometers.


By configuring the width of PVDs built in the trenches to be wider than the width of the mask layer portions used to define trenches, a PVD array may cover more than half the area of a substrate upon which the array is formed. The trench and mask widths may be varied, and a wide variety of materials may be substituted to create the active regions of PVDs to create PVDs and PVD arrays with desired performance characteristics.


In the embodiment of FIG. 11, one option for the top contact 1110 for PVDs is to deposit an optically transparent and electrically conductive material layer, such as ITO. As an alternative to using ITO, another option for connecting PVDs is the use of metal contact wires to connect multiple PVDs in parallel. In both illustrated contact options, a bottom contact 1120 for the PVDs is provided on a bottom surface 1130 of the substrate 10. The substrate 10 is suitably doped such that an electrical path is formed from the bottom of the PV devices 300 through the crystalline material 40 and substrate 10. As illustrated in other embodiments, wafer thinning may be applied to the substrate 10 to reduce PV cell photocurrent resistance.


Alternative methods and configurations may be used to provide sub-micrometer PVD arrays. Referring to FIG. 12, ART-configured openings 30 configured as trenches are defined by a mask layer 35 over substrate 10. The openings 30 may define any of a number of patterns, such as a ribbing-type pattern 1200 or a finger-type pattern 1210. The mask layer 35 may be a compound mask having a first mask layer 1220 and a second mask layer 1230, with a chemical composition of the first layer being different from a chemical composition of the second layer. For example, the second mask layer may include SiO2 formed above the first mask layer including SiNx disposed above a Si substrate 10. Using the same techniques as discussed above with reference to FIG. 11, crystalline material 40 and PVD device 300 layers are grown in the trenches, and contacts 1110, e.g., metal contacts, are defined. In an embodiment, the second layer 1230 of the compound mask layer 35, i.e., SiO2, is selectively removed after formation of the PVDs 300 to expose the first layer 1220 of the compound mask layer, e.g., the SiNx layer that provides a reflective surface between the PVDs, so that photons 1240 that fall between the PVD nanowires will be reflected back and captured for conversion to electricity.


Those of skill in the art will appreciate that different materials may provide a reflective surface between the PVD elements. When creating the reflective surface, such as by deposition of a material layer, the surface can be faceted or otherwise configured to direct photons towards the PVDs so that a PVD nanotrench array that only covers about 50% of the surface of the substrate can still capture over 90% of the photons that strike the area defined by the perimeter of the array. PVD arrays may be configured to effectively capture the same percentage of photons as conventional PVDs that have no gaps within their outer perimeter.


The illustrated array may include a plurality of spaced-apart photonic devices disposed within a device perimeter on the top surface of the substrate defining a substrate surface area, with each photonic device including an active device junction between two semiconductor materials defining an active junction surface area, the combined active surface areas of the plurality of photonic devices being greater than the substrate surface area defined by the device perimeter. For example, the combined active surface areas of the plurality of photonic devices may be at least about 25% greater than the substrate surface area defined by the device perimeter. In some embodiments, the combined active surface areas of the plurality of photonic devices may be at least about 50%, 100%, 200%, or 400% greater than the substrate surface area defined by the device perimeter.


As mentioned briefly above, in one variation of the embodiment illustrated in FIG. 12, a finger-type mask pattern 1210 is used so that each nanowire element of the PVD array has a distinct perimeter completely separated from other elements. In another variation, a ribbing-type mask pattern 1200 is used so that the nanowires are connected to each other in a transverse direction. In the finger-type configuration, a top PVD contact 1110 is created by depositing a metal contact layer transversely across the top of the PVD array elements before removing the SiO2 layer to expose the underlying SiNx layer. In the ribbing-type configuration, a top PVD contact 1110 is created by depositing metal contact layers over the top of the PVD array in areas not covered by the mask, in this case in directions both parallel and transverse to the PVD array elements. Those of skill in the art shall readily recognize how to configure a suitable number of contact wires depending on the size and configuration of a PVD array.


Referring to FIG. 13, an alternative structure is formed by using a mask layer 35, including a second mask layer 1230 of SiO2 disposed above a first mask layer 1220 of SiNx to define trenches in a ribbing-type pattern 1200 using ART techniques. The first layer 1220 (SiNx) is sufficiently thick, e.g., about 300 nm, such that the majority of defects arising from lattice-mismatch of the crystalline material 40 grown in the trench, e.g., GaAs, exits at the sidewalls 1300 of the SiNx layer. In the illustrated embodiment, the second layer 1230 (SiO2) of the mask layer 35 is removed prior to the deposition all of the layers to create the PVD cell elements 300, resulting in PVD cells that have boundary layers with both vertical and horizontal portions. Top and bottom contacts 1110, 1120 are subsequently formed.


In the example of FIG. 13, a single junction cell element 300 has an n-GaAs buffer layer 1310 deposited on an n-type Si substrate 10 within the ART-configured trench. The majority of defects arising from lattice mismatch between the GaAs buffer layer 1310 and the Si substrate 10 exit at the sidewall 1300 created by the SiNx layer so that the top surface of the buffer layer is substantially free of defects. An n-InGaP BSF layer 1320 and n-GaAs base layer 1330 are then deposited over the buffer layer 1310 in the trench prior to removal of the SiO2 layer 1230 of the mask layer 35. After removal of the SiO2 layer, the base layer includes exposed sidewalls 1340. InGaP is preferably used for BSF layer 1320 because it has a wider band gap than GaAs, and has an enhanced lateral growth tendency in comparison to GaAs. BSF layer 1320 provides an electrical barrier layer for reducing undesired current back flow, reflects higher energy photons back for photon recycling, and improves initial facet orientation for GaAs grown on the InGaP.


A p-type GaAs emitter layer 1350 and a p+-GaAs cap layer 1360 are then deposited over the n-GaAs base layer 1330. By configuring the p-n junctions of the PVD cell element 300 to have both a top surface 1370 and lateral sidewall surfaces 1380, the p-n junction interface surface area can exceed the surface area of the Si substrate covered by the PVD array, for example, by 50%, 100%, or 200% or more, providing efficiency advantages over PVDs that have smaller p-n junction surface areas. While the embodiment of FIG. 13 illustrates a single-junction PVD cell, those of skill in the art will readily recognize how to construct multi junction cells, such as the configuration discussed above with reference to FIG. 11.


The electrical contacts for the PVD array of FIG. 9 are provided by, e.g., an n-type metal contact layer formed on the bottom of the n-type Si substrate and an array of p-type metal contacts provided atop the PVD cell elements. While a ribbing-type mask pattern 1200 is shown in FIG. 13, such that the p-metal contacts are provided atop the PVD cell elements in directions both parallel and transverse to the trenches, an alternative is to use a finger-type mask pattern 1210 as discussed above with reference to FIG. 12.


Referring to FIG. 14, in an embodiment of the invention, a crystal orientation of an underlying substrate is used to control the configuration of crystalline material formed thereover. For example, one may start with a (111) Si substrate 10. Here, a ribbing-type mask pattern 1200 is used with an SiO2 masking layer to define trenches. Those of skill will appreciate how to substitute or include other mask configurations and materials such as the finger-type configuration and SiNx mask material. By using techniques to control growth conditions to limit growth of sidewalls in the <110> direction, PVD cell elements 300 may be grown substantially vertically above the mask layer 35. It is known, for example, how to grow narrow pillars of a first semiconductor material above small mask openings on a wafer including the same material, e.g., how to grow GaAs above small mask openings on a GaAs wafer. See, e.g., Hamao et al., JJAP 36, L236 (1997) and Noborisaka et al., APL 86, 213102 (2005), incorporated herein by reference in their entireties. For example, when using MOCVD with a precursor of AsH3, the growth rates of the (111) surface and (110) surface are highly dependent on substrate temperature (Ts) and partial pressure of the precursor (PAsH3) during MOCVD epitaxy. See e.g., S. Ando et al., JCG 145, 320 (1994), incorporated herein by reference in its entirety, and Hamao, et al. At higher Ts and lower PAsH3, growth is faster on the (111) plane, so the crystalline material 40 may be formed with (110) sidewall facets. In order to promote growth on (110) planes to form a second semiconductor layer, such as second semiconductor layer 1410 discussed below, a reversed set of growth parameters may be used, with a lower substrate temperature Ts and a relatively higher PAsH3.


By adapting such growth techniques for hetero-epitaxial growth of III-V materials on a (111) Si substrate, it is possible to grow materials substantially vertically and trap dislocations on the sidewalls during vertical growth. For example, aligning the openings or trenches 30 along a <110> direction of the (111) substrate takes advantage of the slow growth on the (110) face of a sidewall 1400 of the epitaxially grown crystalline material 40. This asymmetric growth technique may be applied to structures other than pillars, such as fins or nanowires that have one narrow dimension and one long dimension.


The mask layer 35 may define openings 30, e.g., configured as trenches, through which the first crystalline material 40 is formed on the substrate 10, the opening having a sidewall extending a predefined height from a top surface of the mask layer to a top surface of the substrate, the opening defining a width and a length adjacent the top surface of the substrate. The ratio of the height to the width may be greater than 1. In other embodiments, the ratio of the height to the width may be less than 1.


After controlled vertical growth of one type of PVD cell layer, for example, a first semiconductor crystalline material 40, such as an n-type GaAs base layer, growth of subsequent cladding layers, for example, starting with a second semiconductor layer 1410 of, e.g., a p-type GaAs emitter layer, can be promoted on the sidewalls of, e.g., the base layer, in the <110> direction to define an active device junction region. Contacts 1110 may be formed over the PVD cell structures 300.


Techniques such as this can be used to create PVD cells with p-n junction surface areas that greatly exceed the surface substrate surface area covered by the PVD array, for example, by more than 100% or 200%. The PVD cell structure 300, i.e., photonic device, formed by this method includes an active junction 1420 having a surface that extends in a direction substantially away from the top surface 15 of the substrate 10, e.g., in a direction substantially perpendicular to the substrate top surface. The active junction may include a sidewall surface 1420a coupled to a top surface 1420b, the sidewall surface extending substantially away from the top surface 15 of the substrate 10.


A portion of the active junction may be adjacent the top surface of the mask layer, and the mask layer may electrically isolate the active junction from the substrate. The mask layer may also isolate a third semiconductor layer 1430 disposed on the second semiconductor layer 1420 from the substrate 10. The top surface of the mask layer may be substantially optically reflective.


The active cell junction may be disposed below a top surface of the substrate. The active cell junction may have a shape corresponding to a shape defined by the intersection of the opening in the mask layer and the top surface of the substrate. The active cell junction may include a doped layer proximal to the top surface of the substrate, or a doped layer proximal a bottom surface to the substrate.


The photonic device may include, e.g., a photovoltaic device, a plurality of multi junction photovoltaic devices, a light-emitting diode (LED), or a plurality of LEDs connected in parallel.


As illustrated in FIGS. 11-14, a device may include a first photonic device structure disposed above a first region of a substrate, the first photonic device structure including a first top surface and a first uncovered sidewall surface, and a second photonic device structure disposed above a second region of the substrate and spaced apart from the first structure, the second photonic device structure including a second top surface and a second uncovered sidewall surface. A conductive layer may extend from the first top surface of the first photonic device structure to the second top surface of the second photonic device structure above the first and second uncovered sidewall surfaces of the first and second structures to electrically couple the first and second top surfaces. The conductive layer may include a material such as, for example, Al, Ag, Ti, Sn, Cr, and/or Si.



FIGS. 15-17 illustrate features that may be included in various embodiments such as the embodiments of FIGS. 4-7 and 11-14. Referring to FIG. 15, localized n-type Si regions 1500 in a p-Si substrate 10 are formed by thermal annealing after growth of the III-V crystalline material 40 for the PVD cell 200 buffer layer. For example, GaP, GaSb and properly optimized GaAs materials are highly diffusive to Si. They are suitable wetting layers to over-compensate a p-type Si dopant and form p-n junctions at the bottom of trenched areas, e.g., the junctions between the localized n-type Si regions and the p-type substrate. Thus, additional localized p-n junction arrays are made on the Si substrate, which further enhances overall PV cell efficiency without altering the previously described structures. In such embodiments, an active cell junction is disposed below the top surface of the substrate. The shape of the active cell junction may correspond to a shape defined by an intersection of the opening in the mask layer 35 and the top surface 15 of the substrate 10. In some embodiments, p-type Si regions may be formed in an n-Si substrate.


The embodiment illustrated in FIG. 16 includes the formation of a p-n junction 1600 by epitaxially growing a thin film 1610 of n-type Si over a p-type Si substrate 10 before forming mask layer 35. Alternatively, one may grow a film of p-type Si over an n-type Si substrate. Here, an active cell junction, i.e., p-n junction 1600, is disposed below the top surface of the substrate upon which PVDs 200 shall be fabricated, and includes a doped layer, i.e., thin film 1610, proximal to the top surface of the substrate.


Referring to FIG. 17, in another embodiment, a p-n junction 1700 is formed near the bottom surface 1130 of the substrate 10 by diffusing an n-type dopant into a p-type Si substrate to form a doped layer 1710, prior to the formation of mask layer 35. Similarly to FIG. 16, an alternative is to diffuse p-type dopants into an n-type Si substrate. In this embodiment, an active cell junction is disposed below the top surface of the substrate and includes a doped layer 1710 proximal to the bottom surface of the substrate 10.


Referring to FIGS. 18 and 19, embodiments of the invention include ART-based dual junction cells. In each of the exemplary dual junction cells, the design of the top cell is de-coupled from the design of the bottom cell so that one may customize the design parameters of each cell without concern for lattice matching. Moreover, the use of ART allows a combination of a Si-based lower cell with a lattice-mismatched III-V-based upper cell.


In addition, the 2-D/3-D structure allows for a fully depleted core/center. For example, in the auto doped structure of FIG. 19, the n-InGaP core 1940 may be fully depleted when covered with a p+ InGaP layer 1935, resulting in improved performance due to higher carrier velocities.


In FIGS. 18 and 19 a bottom region of the crystalline material 40 disposed in the ART opening 30 is heavily doped, which for PVD applications provides an advantage of mitigating potentially negative impact on performance that may arise from recombination of electrons and holes facilitated by the presence of defects. To avoid having depletion zones that encompass the region of trapped defects, appropriate doping levels are preferably used to either keep the depletion regions outside the defect regions or else to reduce the size of the depletion zones in the area of defect regions. In the exemplary structures of FIGS. 18 and 19, the tunnel junctions in or near the regions of trapped defects are heavily doped and create very small depletion zones.


More specifically, referring to FIG. 18, in an embodiment, a dual junction cell 1800 includes an n+/p III-V top cell 1805 with a bandgap of 1.7 eV disposed over an n+/p Si bottom cell 1810 with a bandgap of 1.1 eV. A summary of the components of the dual junction cell 1800, from top to bottom, is as follows:

    • 1810—top contact
    • 1815, 1820—contact layers—GaAs doped with Si facilitating a low resistance contact from the semiconductor GaAs layer to the top contact layer
    • 1825/1840—transition layers
    • 1830/1835—top cell 1805
    • 1845/1850—tunnel junction
    • 1855/1860—bottom cell 1810
    • 1865—contact layer
    • 1830—bottom contact
    • 1875/1880—two layers left from a 3-layer mask/dielectric (top SiOx layer etched away after layer 6 InGaP is grown).


      More particularly, the dual junction cell includes, from the top down, the following layers, with an exemplary structure including the indicated particular materials and thicknesses:
    • top contact grid 1810, e.g., an Al grid;
    • contact layer 1815 formed by ohmic contact doping, e.g., a Si doping spike;
    • contact layer 1820 including an n++ ohmic layer, having a thickness selected from a range of 75-1000 nm, e.g., a 500 nm layer of GaAs, n-doped with Se to a level of 6×1018/cm3;
    • window layer defining a transition layer 1825, having a thickness selected from a range of 20-35 nm, n+ doped and lattice matched to cell layer 5, e.g., a 25 nm layer of AlInP, n-doped with Si to a level of 4×1017/cm3;
    • n+ polarity layer 1830 defining a first layer of the top cell 1805, composition designed to provide efficiency, with a band gap Eg of 1.7 eV and having a thickness selected from a range of 75-150 nm, e.g., a 100 nm thick layer of GaInP, n-doped with Se to a level of 2×1018/cm3;
    • p polarity layer 1835, composition designed to provide efficiency, with a band gap Eg of 1.7 eV and having a thickness selected from a range of 500-1000 nm, e.g., a 750 nm layer of GaInP with a bandgap Eg of 1.7 eV, p-doped with Zn to a level of 1.5×1017/cm3;
    • n+ back surface field layer 1840, lattice matched to cell layer 1835, having a thickness selected form a range of 30-100 nm, e.g., a 50 nm thick layer of GaInP with a bandgap Eg of 1.7 eV, p-doped with Zn to a level of 3×1018/cm3;
    • p++ layer 1845 lattice matched to n+ back surface field layer 1840, having Eg=1.7 eV and having a thickness selected from a range of 100-150 nm, e.g., a 100 nm thick layer of GaAs, p-doped with C to a level of 8×1019/cm3;
    • n++ layer 1850 lattice matched to layer 1845, having Eg=1.7 eV, having a thickness selected from a range of 100-150 nm, e.g., a 100 nm thick layer of GaAs, n-doped with Se to a level of 1×1019/cm3;
    • n+ Si layer 1855 with an Eg of 1.1 eV doped with, e.g., As;
    • p Si layer 1860 with an Eg of 1.1 eV doped with, e.g., B;
    • diffused Al:Si layer 1865;
    • Al back contact layer 1870;
    • SiNx etch stop layer 1875 having a thickness of, e.g., 100 nm; and
    • SiOx layer 1880 having a thickness of, e.g., 250 nm.


Referring to FIG. 19, in another embodiment, a dual junction cell is autodoped by Si and includes a p+/n III-V top cell 1905 with a bandgap of 1.7 eV disposed over a p+/n Si bottom cell 1910 with a bandgap of 1.1 eV. An advantage of the illustrated auto-doped structure is that using a heavily doped III-V material in the ART region allows one to benefit from Si diffusion in that Si diffusion effectively provides n-type doping Alternatively, if the heavy doping at least mitigates or eliminates potential problems caused by diffusion of Si into the III-V material during processing. A summary of the components of the dual junction cell is as follows:


More particularly, the dual junction cell of FIG. 19 includes, from the top down, the following layers, with an exemplary structure including the indicated particular materials and thicknesses:

    • top contact grid 1915, e.g., an Al grid;
    • ohmic contact doping layer 1920;
    • p++ ohmic contact layer 1925 having a thickness of selected from a range of 75-1000 nm, e.g., a 100 nm thick layer of p++ GaAs;
    • a p+ window layer 1930 lattice matched to cell layer 5 and having a thickness selected from a range of 20-35 nm, e.g., a layer of p+ InAlGaP having a thickness of 30 nm;
    • a p+ polarity layer 1935 having a band gap Eg of 1.7 eV and a thickness selected from a range of 75-150 nm, with a composition tailored for efficiency, e.g., a layer of p+ InGaP having a thickness of 50 nm;
    • an n polarity layer 1940 having a band gap Eg of 1.7 eV and a thickness selected from a range of 500-1000 nm, with a composition tailored for efficiency, e.g., a layer of n InGaP having a thickness of 550 nm (layers 1935, 1940 forming top cell 1905);
    • a back surface field layer 1945 having a thickness selected from a range of 30-100 nm and lattice matched to cell layer 6, e.g., a layer of n+ InAlGaP having a thickness of 30 nm;
    • an n++ layer 1950 lattice matched to back surface field layer 1945, having a band gap of Eg=1.7 eV and a thickness selected form a range of 250-300 nm, e.g., a layer of n++ GaAs having a thickness of 300 nm;
    • a p++ doped Si layer 1955 having a thickness selected from a range of 20-30 nm, e.g., 20 nm;
    • a p+ Si layer 1960 having a band gap Eg of 1.1 eV;
    • an n Si layer 1965 having a band gap Eg of 1.1 eV;
    • a diffused Al: Si layer 1970;
    • an Al back contact layer 1975;
    • an SiNx etch stop layer 1980 having a thickness of 100 nm; and
    • an SiOx layer 1985 having a thickness of 250 nm.


Referring to FIG. 20, a process flow for forming a PVD by ART is summarized. In a particular embodiment, a starting material is a p+ Si wafer. Arsenic is diffused into the wafer to define an n-type region. A thermal oxide having a thickness of 250 nm is grown over the wafer. PECVD is used to define a mask layer by depositing a 100 nm thick layer of SiNx and 750 nm of SiOx. Photolithographic and reactive ion etch steps are performed to define windows in the mask layer. The photoresist is removed by a wet strip and dry ash, and the wafer is cleaned. A pre-epitaxial clean is performed, followed by a first III-V epitaxial step to deposit the PVD layers up through the core layer, e.g., layers 1835, 1840, 1845, and 1850 of FIG. 18. A wet etch with HF is performed to remove a portion of the mask layer, i.e., the upper SiOx portion. A second III-V epitaxial step is performed to deposit the remaining III-V layers over the core layer. A back side Al diffusion defines a back side contact. A front side contact layer is deposited, and a grid is defined by lithography and etch steps. The photoresist is stripped and the wafer cleaned. The wafer is diced into discrete devices and tested. The devices are tested and supplied to a module manufacturer.


Many of the embodiments discussed above describe providing PVDs over monocrystalline Si substrates. Those of skill in the art understand how to substitute other substrate materials. For example, poly-crystalline or amorphous Si substrates may be used as more cost-effective alternatives with suitable efficiency levels for many applications.


Aspects of the embodiments discussed above also provide utility for applications other than PVDs. For example, other device applications such as photonics, e.g., LEDs, can benefit from the ability to create vertically grown hetero-epitaxial materials using Si substrates. Inventive aspects of the foregoing embodiments include combinations and variations to implement photovoltaic and other devices comprising a variety of elements.


The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The foregoing embodiments are therefore to be considered in all respects illustrative rather than limiting on the invention described herein. Scope of the invention is thus indicated by the appended claims rather than by the foregoing description, and all changes which come within the meaning and range of equivalency of the claims are intended to be embraced therein.

Claims
  • 1. A structure for use in photonic applications, the structure comprising: a mask layer disposed above a top surface of a silicon substrate and including an opening extending from a top surface of the mask layer to the top surface of the silicon substrate, the opening having a first width and a first length; anda photonic device on the silicon substrate in the opening and above a topmost surface of the mask layer, the photonic device comprising crystalline material on the silicon substrate in the opening, the photonic device having a plurality of active junctions including a surface that extends in a direction substantially away from the top surface of the silicon substrate, the plurality of active junctions comprising:an n-type base layer directly over the crystalline material on the silicon substrate, the n-type base layer extending above the topmost surface of the mask layer, a widest portion of the n-type base layer above the topmost surface of the mask layer having a second width, the second width being less than or equal to the first width; and a p-type emitter layer over and contacting the n-type base layer, the p-type emitter layer having a bottom surface contacting the top surface of the mask layer;wherein the crystalline material on the silicon substrate comprises an n-GaAs buffer layer and an n-InGaP back surface field layer over the n-GaAs buffer layer, wherein the n-type base layer is a n-GaAs base layer, the p-type emitter layer is a p-GaAs emitter layer, wherein the photonic device further comprises a p+ GaAs cap layer over the p-type emitter layer.
  • 2. The structure of claim 1, wherein the surfaces of the plurality of active junctions are substantially perpendicular to the top surface of the silicon substrate.
  • 3. The structure of claim 1, wherein the photonic device includes an active junction having a sidewall surface coupled to a top surface of the active junction, the sidewall surface of the active junction extending substantially away from the top surface of the silicon substrate.
  • 4. The structure of claim 1, wherein a portion of each of the active junctions is adjacent the top surface of the mask layer and the mask layer electrically isolates the active junction from the silicon substrate.
  • 5. The structure of claim 1, wherein the photonic device comprises a photovoltaic device.
  • 6. The structure of claim 1, wherein the photonic device comprises a plurality of multijunction photovoltaic devices.
  • 7. The structure of claim 1, wherein the photonic device comprises an LED.
  • 8. The structure of claim 1, wherein the photonic device comprises a plurality of LEDs connected in parallel.
  • 9. The structure of claim 1, wherein each active junction having a surface that extends in a direction substantially away from the top surface of the silicon substrate.
  • 10. The structure of claim 9, wherein the active junction surfaces are substantially perpendicular to the top surface of the silicon substrate.
  • 11. The structure of claim 9, wherein each active junction includes a sidewall surface coupled to a top surface of the active junction, the sidewall surfaces extending in a direction substantially away from the top surface of the silicon substrate.
  • 12. The structure of claim 9, wherein each active junction includes a portion adjacent the top surface of the mask layer and the mask layer electrically isolates each active junction from the silicon substrate.
  • 13. A structure comprising: a first semiconductor material disposed on a substrate, the first semiconductor material including a sidewall extending away from the substrate, the sidewall of the first semiconductor material comprising a bottom region and an upper region over the bottom region, the first semiconductor material comprising an n-GaAs buffer layer, an n-InGaP back surface field layer, and an n-GaAs base layer, the n-InGaP back surface field layer being over the n-GaAs buffer layer, the n-GaAs base layer being over the n-InGaP back surface field layer;a second semiconductor layer disposed on a portion of the sidewall to define an active junction region, the second semiconductor layer being a p-type emitter layer, the p-type emitter layer being a p-GaAs emitter layer;a third semiconductor layer disposed on the second semiconductor layer, the third semiconductor layer being a p+ GaAs cap layer;a mask layer disposed on the substrate adjacent to the bottom region of the sidewall, the mask layer electrically isolating the second semiconductor layer from the substrate, the upper region of the sidewall extending above a topmost surface of the mask layer, the upper region of the sidewall above the topmost surface of the mask layer being over and aligned with the bottom region of the sidewall below the topmost surface of the mask layer;a top conductive contact over a top surface of the second semiconductor layer; anda bottom conductive contact on a bottom surface of the substrate, the substrate being between the top conductive contact and the bottom conductive contact.
  • 14. The structure of claim 13, wherein a surface of the active junction region is substantially perpendicular to a top surface of the substrate.
  • 15. The structure of claim 13, wherein the mask layer comprises a non-crystalline material, a top surface of the substrate comprises a first crystalline material, the first semiconductor material comprises a second crystalline material, the second crystalline material being disposed in and above openings of the mask layer.
  • 16. The structure of claim 15, wherein the p-type emitter layer has a bottom surface contacting the top surface of the mask layer.
  • 17. The structure of claim 13, wherein the first semiconductor material, the second semiconductor layer, and the third semiconductor layer are part of a photovoltaic structure.
  • 18. The structure of claim 17, wherein the photovoltaic structure comprises a plurality of multijunction photovoltaic devices.
  • 19. A structure comprising: a mask layer disposed above a top surface of a substrate, the mask layer comprising a non-crystalline material and having a plurality of openings extending from a top surface of the mask layer to the top surface of the substrate, the top surface of the substrate comprising a first crystalline material, wherein each opening of the plurality of openings is defined by noncrystalline sidewalls having a height h and has a width w and length l along the top surface of the substrate, and the width w is smaller than the length l, and the height h is smaller than half the length l; anda photovoltaic cell disposed in and above the plurality of openings; the photovoltaic cell comprising a second crystalline material, the second crystalline material being lattice mismatched to the first crystalline material, the photovoltaic cell further comprising active cell junctions above the second crystalline material, each of the active cell junctions being over one of the plurality of openings and over the top surface of the mask layer, each of the active cell junctions having a top surface and lateral sidewall surfaces, the lateral sidewall surfaces being substantially perpendicular to the top surface of the substrate, wherein each of the active cell junctions comprise: an n-type base layer directly over the second crystalline material, the n-type base layer extending above the top surface of the mask layer; anda p-type emitter layer over and contacting the n-type base layer, the p-type emitter layer having a bottom surface contacting the top surface of the mask layer;wherein the second crystalline material comprises an n-GaAs buffer layer and an n-InGaP back surface field layer over the n-GaAs buffer layer, wherein the n-type base layer is a n-GaAs base layer, the p-type emitter layer is a p-GaAs emitter layer, wherein the photovoltaic cell further comprises a p+ GaAs cap layer over the p-type emitter layer.
  • 20. The structure of claim 19, wherein the n-type base layer above the top surface of the mask layer has a first width, the first width being less than or equal to the width w.
RELATED APPLICATIONS

This application is a divisional of U.S. patent application Ser. No. 12/100,131 filed Apr. 9, 2008, which claims the benefit of U.S. Provisional Application Ser. No. 60/922,533 filed Apr. 9, 2007, which patent applications are incorporated herein by reference.

US Referenced Citations (444)
Number Name Date Kind
4322253 Pankove et al. Mar 1982 A
4370510 Stirn Jan 1983 A
4522661 Morrison Jun 1985 A
4545109 Reichert Oct 1985 A
4551394 Betsch et al. Nov 1985 A
4651179 Reichert Mar 1987 A
4727047 Bozler et al. Feb 1988 A
4774205 Choi et al. Sep 1988 A
4789643 Kajikawa Dec 1988 A
4826784 Salerno et al. May 1989 A
4860081 Cogan Aug 1989 A
4876210 Barnette et al. Oct 1989 A
4948456 Schubert Aug 1990 A
4963508 Umeno et al. Oct 1990 A
5032893 Fitzgerald, Jr. et al. Jul 1991 A
5034337 Mosher et al. Jul 1991 A
5061644 Yue et al. Oct 1991 A
5079616 Yacobi et al. Jan 1992 A
5091333 Fan et al. Feb 1992 A
5091767 Bean et al. Feb 1992 A
5093699 Weichold et al. Mar 1992 A
5098850 Nishida et al. Mar 1992 A
5105247 Cavanaugh Apr 1992 A
5108947 Demeester et al. Apr 1992 A
5156995 Fitzgerald, Jr. et al. Oct 1992 A
5159413 Calviello et al. Oct 1992 A
5164359 Calviello et al. Nov 1992 A
5166767 Kapoor et al. Nov 1992 A
5223043 Olson et al. Jun 1993 A
5236546 Mizutani Aug 1993 A
5238869 Shichijo et al. Aug 1993 A
5256594 Wu Oct 1993 A
5269852 Nishida Dec 1993 A
5269876 Mizutani Dec 1993 A
5272105 Yacobi et al. Dec 1993 A
5281283 Tokunaga et al. Jan 1994 A
5285086 Fitzgerald, Jr. Feb 1994 A
5295150 Vangieson et al. Mar 1994 A
5356831 Calviello et al. Oct 1994 A
5403751 Nishida et al. Apr 1995 A
5405453 Ho et al. Apr 1995 A
5407491 Freundlich et al. Apr 1995 A
5410167 Saito Apr 1995 A
5417180 Nakamura May 1995 A
5427976 Koh et al. Jun 1995 A
5432120 Meister et al. Jul 1995 A
5438018 Mori et al. Aug 1995 A
5461243 Ek et al. Oct 1995 A
5518953 Takasu May 1996 A
5528209 Macdonald et al. Jun 1996 A
5545586 Koh Aug 1996 A
5548129 Kubena Aug 1996 A
5589696 Baba Dec 1996 A
5621227 Joshi Apr 1997 A
5622891 Saito Apr 1997 A
5640022 Inai Jun 1997 A
5710436 Tanamoto et al. Jan 1998 A
5717709 Sasaki et al. Feb 1998 A
5767507 Unlu et al. Jun 1998 A
5792679 Nakato Aug 1998 A
5825049 Simmons et al. Oct 1998 A
5825240 Geis et al. Oct 1998 A
5849077 Kenney Dec 1998 A
5853497 Lillington et al. Dec 1998 A
5869845 Vander Wagt et al. Feb 1999 A
5883549 De Los Santos Mar 1999 A
5886385 Arisumi et al. Mar 1999 A
5903170 Kulkarni et al. May 1999 A
5953361 Borchert et al. Sep 1999 A
5959308 Shichijo et al. Sep 1999 A
5966620 Sakaguchi et al. Oct 1999 A
5998781 Vawter et al. Dec 1999 A
6011271 Sakuma et al. Jan 2000 A
6015979 Sugiura et al. Jan 2000 A
6049098 Sato Apr 2000 A
6083598 Ohkubo et al. Jul 2000 A
6100106 Yamaguchi et al. Aug 2000 A
6110813 Ota et al. Aug 2000 A
6111288 Watanabe et al. Aug 2000 A
6121121 Koide Sep 2000 A
6121542 Shiotsuka et al. Sep 2000 A
6150242 Van der Wagt et al. Nov 2000 A
6153010 Kiyoku et al. Nov 2000 A
6191432 Sugiyama et al. Feb 2001 B1
6225650 Tadatomo et al. May 2001 B1
6228691 Doyle May 2001 B1
6229153 Botez et al. May 2001 B1
6235547 Sakuma et al. May 2001 B1
6252261 Usui et al. Jun 2001 B1
6252287 Kurtz et al. Jun 2001 B1
6271551 Schmitz et al. Aug 2001 B1
6274889 Ota et al. Aug 2001 B1
6300650 Sato Oct 2001 B1
6320220 Watanabe et al. Nov 2001 B1
6325850 Beaumont et al. Dec 2001 B1
6339232 Takagi Jan 2002 B1
6340788 King et al. Jan 2002 B1
6342404 Shibata et al. Jan 2002 B1
6348096 Sunakawa et al. Feb 2002 B1
6352942 Luan et al. Mar 2002 B1
6362071 Nguyen et al. Mar 2002 B1
6380051 Yuasa et al. Apr 2002 B1
6380590 Yu Apr 2002 B1
6403451 Linthicum et al. Jun 2002 B1
6407425 Babcock et al. Jun 2002 B1
6456214 van der Wagt Sep 2002 B1
6458614 Nanishi et al. Oct 2002 B1
6475869 Yu Nov 2002 B1
6492216 Yeo et al. Dec 2002 B1
6500257 Wang et al. Dec 2002 B1
6503610 Hiramatsu et al. Jan 2003 B2
6512252 Takagi et al. Jan 2003 B1
6521514 Gehrke et al. Feb 2003 B1
6552259 Hosomi et al. Apr 2003 B1
6566284 Thomas, III et al. May 2003 B2
6576532 Jones et al. Jun 2003 B1
6579463 Winningham et al. Jun 2003 B1
6603172 Segawa et al. Aug 2003 B1
6606335 Kuramata et al. Aug 2003 B1
6617643 Goodwin-Johansson Sep 2003 B1
6635110 Luan et al. Oct 2003 B1
6645295 Koike et al. Nov 2003 B1
6645797 Buynoski et al. Nov 2003 B1
6686245 Mathew et al. Feb 2004 B1
6703253 Koide Mar 2004 B2
6709982 Buynoski et al. Mar 2004 B1
6710368 Fisher et al. Mar 2004 B2
6720196 Kunisato et al. Apr 2004 B2
6727523 Morita Apr 2004 B2
6753555 Takagi et al. Jun 2004 B2
6756611 Kiyoku et al. Jun 2004 B2
6762483 Krivokapic et al. Jul 2004 B1
6767793 Clark et al. Jul 2004 B2
6784074 Shchukin et al. Aug 2004 B2
6787864 Paton et al. Sep 2004 B2
6794718 Nowak et al. Sep 2004 B2
6800910 Lin et al. Oct 2004 B2
6803598 Berger et al. Oct 2004 B1
6809351 Kuramoto et al. Oct 2004 B2
6812053 Kong et al. Nov 2004 B1
6812495 Wada et al. Nov 2004 B2
6815241 Wang Nov 2004 B2
6815738 Rim Nov 2004 B2
6825534 Chen et al. Nov 2004 B2
6831350 Liu et al. Dec 2004 B1
6835246 Zaidi Dec 2004 B2
6835618 Dakshina-Murthy et al. Dec 2004 B1
6838322 Pham et al. Jan 2005 B2
6841410 Sasaoka Jan 2005 B2
6841808 Shibata et al. Jan 2005 B2
6849077 Ricci Feb 2005 B2
6849487 Taylor, Jr. et al. Feb 2005 B2
6849884 Clark et al. Feb 2005 B2
6855583 Krivokapic et al. Feb 2005 B1
6855982 Xiang et al. Feb 2005 B1
6855990 Yeo et al. Feb 2005 B2
6867433 Yeo et al. Mar 2005 B2
6873009 Hisamoto et al. Mar 2005 B2
6882051 Majumdar et al. Apr 2005 B2
6887773 Gunn, III et al. May 2005 B2
6888181 Liao et al. May 2005 B1
6900070 Craven et al. May 2005 B2
6900502 Ge et al. May 2005 B2
6902965 Ge et al. Jun 2005 B2
6902991 Xiang et al. Jun 2005 B2
6909186 Chu Jun 2005 B2
6917068 Krivokapic Jul 2005 B1
6919258 Grant et al. Jul 2005 B2
6920159 Sidorin et al. Jul 2005 B2
6921673 Kobayashi et al. Jul 2005 B2
6921963 Krivokapic et al. Jul 2005 B2
6921982 Joshi et al. Jul 2005 B2
6936875 Sugii et al. Aug 2005 B2
6943407 Ouyang et al. Sep 2005 B2
6946683 Sano et al. Sep 2005 B2
6949769 Hu et al. Sep 2005 B2
6951819 Iles et al. Oct 2005 B2
6955969 Djomehri et al. Oct 2005 B2
6955977 Kong et al. Oct 2005 B2
6958254 Seifert Oct 2005 B2
6960781 Currie et al. Nov 2005 B2
6974733 Boyanov et al. Dec 2005 B2
6977194 Belyansky et al. Dec 2005 B2
6982204 Saxler et al. Jan 2006 B2
6982435 Shibata et al. Jan 2006 B2
6984571 Enquist Jan 2006 B1
6991998 Bedell et al. Jan 2006 B2
6994751 Hata et al. Feb 2006 B2
6995430 Langdo et al. Feb 2006 B2
6995456 Nowak Feb 2006 B2
6996147 Majumdar et al. Feb 2006 B2
6998684 Anderson et al. Feb 2006 B2
7001804 Dietz et al. Feb 2006 B2
7002175 Singh et al. Feb 2006 B1
7012298 Krivokapic Mar 2006 B1
7012314 Bude et al. Mar 2006 B2
7015497 Berger Mar 2006 B1
7015517 Grant et al. Mar 2006 B2
7033436 Biwa et al. Apr 2006 B2
7033936 Green Apr 2006 B1
7041178 Tong et al. May 2006 B2
7045401 Lee et al. May 2006 B2
7049627 Vineis et al. May 2006 B2
7061065 Horng et al. Jun 2006 B2
7074623 Lochtefeld et al. Jul 2006 B2
7078299 Maszara et al. Jul 2006 B2
7078731 D'Evelyn et al. Jul 2006 B2
7084051 Ueda Aug 2006 B2
7084441 Saxler Aug 2006 B2
7087965 Chan et al. Aug 2006 B2
7088143 Ding et al. Aug 2006 B2
7091561 Matsushita et al. Aug 2006 B2
7095043 Oda et al. Aug 2006 B2
7098508 Ieong et al. Aug 2006 B2
7101444 Shohukin et al. Sep 2006 B2
7109516 Langdo et al. Sep 2006 B2
7118987 Fu et al. Oct 2006 B2
7119402 Kinoshita et al. Oct 2006 B2
7122733 Narayanan et al. Oct 2006 B2
7125785 Cohen et al. Oct 2006 B2
7128846 Nishijima et al. Oct 2006 B2
7132691 Tanabe et al. Nov 2006 B1
7138292 Mirabedini et al. Nov 2006 B2
7138302 Xiang et al. Nov 2006 B2
7145167 Chu Dec 2006 B1
7148417 Landis Dec 2006 B1
7154118 Lindert et al. Dec 2006 B2
7160753 Williams, Jr. Jan 2007 B2
7164183 Sakaguchi et al. Jan 2007 B2
7176522 Cheng et al. Feb 2007 B2
7179727 Capewell et al. Feb 2007 B2
7180134 Yang et al. Feb 2007 B2
7195993 Zheleva et al. Mar 2007 B2
7198995 Chidambarrao et al. Apr 2007 B2
7205586 Takagi et al. Apr 2007 B2
7205604 Ouyang et al. Apr 2007 B2
7211864 Seliskar May 2007 B2
7217882 Walukiewicz et al. May 2007 B2
7224033 Zhu et al. May 2007 B2
7244958 Shang et al. Jul 2007 B2
7247534 Chidambarrao et al. Jul 2007 B2
7247912 Zhu et al. Jul 2007 B2
7250359 Fitzgerald Jul 2007 B2
7262117 Gunn, III et al. Aug 2007 B1
7268058 Chau et al. Sep 2007 B2
7297569 Bude et al. Nov 2007 B2
7344942 Korber Mar 2008 B2
7361576 Imer et al. Apr 2008 B2
7372066 Sato et al. May 2008 B2
7420201 Langdo et al. Sep 2008 B2
7449379 Ochimizu et al. Nov 2008 B2
7582498 D'Evelyn et al. Sep 2009 B2
7626246 Lochtefeld et al. Dec 2009 B2
7638842 Currie et al. Dec 2009 B2
7655960 Nakahata et al. Feb 2010 B2
7777250 Lochtefeld Aug 2010 B2
7799592 Lochtefeld Sep 2010 B2
7825328 Li Nov 2010 B2
7875958 Cheng Jan 2011 B2
8034697 Fiorenza et al. Oct 2011 B2
8344242 Fiorenza Jan 2013 B2
8847279 Bai Sep 2014 B2
9508890 Li Nov 2016 B2
9818819 Bai Nov 2017 B2
20010006249 Fitzgerald Jul 2001 A1
20010045604 Oda et al. Nov 2001 A1
20020011612 Hieda Jan 2002 A1
20020017642 Mizushima et al. Feb 2002 A1
20020022290 Kong et al. Feb 2002 A1
20020030246 Eisenbeiser et al. Mar 2002 A1
20020036290 Inaba et al. Mar 2002 A1
20020046693 Kiyoku et al. Apr 2002 A1
20020047155 Babcock et al. Apr 2002 A1
20020066403 Sunakawa et al. Jun 2002 A1
20020070383 Shibata et al. Jun 2002 A1
20020084000 Fitzgerald Jul 2002 A1
20020127427 Young et al. Sep 2002 A1
20020168802 Hsu et al. Nov 2002 A1
20020168844 Kuramoto et al. Nov 2002 A1
20020179005 Koike et al. Dec 2002 A1
20030030117 Iwasaki et al. Feb 2003 A1
20030045017 Hiramatsu et al. Mar 2003 A1
20030057486 Gambino et al. Mar 2003 A1
20030064535 Kub et al. Apr 2003 A1
20030070707 King et al. Apr 2003 A1
20030087462 Koide et al. May 2003 A1
20030089899 Lieber et al. May 2003 A1
20030136442 Takamoto Jul 2003 A1
20030155586 Koide et al. Aug 2003 A1
20030168002 Zaidi Sep 2003 A1
20030178677 Clark et al. Sep 2003 A1
20030178681 Clark et al. Sep 2003 A1
20030183827 Kawaguchi et al. Oct 2003 A1
20030203531 Shchukin et al. Oct 2003 A1
20030207518 Kong et al. Nov 2003 A1
20030227036 Sugiyama et al. Dec 2003 A1
20030230759 Thomas et al. Dec 2003 A1
20040005740 Lochtefeld et al. Jan 2004 A1
20040012037 Venkatesan et al. Jan 2004 A1
20040016921 Botez et al. Jan 2004 A1
20040031979 Lochtefeld et al. Feb 2004 A1
20040041932 Chao et al. Mar 2004 A1
20040043584 Thomas et al. Mar 2004 A1
20040048409 Biwa Mar 2004 A1
20040072410 Motoki et al. Apr 2004 A1
20040075105 Leitz et al. Apr 2004 A1
20040075464 Samuelson et al. Apr 2004 A1
20040082150 Kong et al. Apr 2004 A1
20040087051 Furuya et al. May 2004 A1
20040092060 Gambino et al. May 2004 A1
20040118451 Walukiewicz et al. Jun 2004 A1
20040121507 Bude et al. Jun 2004 A1
20040123796 Nagai et al. Jul 2004 A1
20040142503 Lee et al. Jul 2004 A1
20040150001 Shchukin et al. Aug 2004 A1
20040155249 Narui et al. Aug 2004 A1
20040173812 Currie et al. Sep 2004 A1
20040183078 Wang Sep 2004 A1
20040185665 Kishimoto et al. Sep 2004 A1
20040188791 Horng et al. Sep 2004 A1
20040195624 Liu et al. Oct 2004 A1
20040227187 Cheng et al. Nov 2004 A1
20040247218 Ironside et al. Dec 2004 A1
20040256613 Oda et al. Dec 2004 A1
20040256647 Lee et al. Dec 2004 A1
20040262617 Hahm et al. Dec 2004 A1
20050001216 Adkisson et al. Jan 2005 A1
20050003572 Hahn et al. Jan 2005 A1
20050009304 Zheleva et al. Jan 2005 A1
20050017351 Ravi Jan 2005 A1
20050035410 Yeo et al. Feb 2005 A1
20050040444 Cohen Feb 2005 A1
20050045983 Noda et al. Mar 2005 A1
20050054164 Xiang Mar 2005 A1
20050054180 Han et al. Mar 2005 A1
20050056827 Li et al. Mar 2005 A1
20050056892 Seliskar Mar 2005 A1
20050072995 Anthony Apr 2005 A1
20050073028 Grant et al. Apr 2005 A1
20050093021 Ouyang et al. May 2005 A1
20050093154 Kottantharayil et al. May 2005 A1
20050104152 Snyder et al. May 2005 A1
20050104156 Wasshuber May 2005 A1
20050118793 Snyder et al. Jun 2005 A1
20050118825 Nishijima et al. Jun 2005 A1
20050121688 Nagai et al. Jun 2005 A1
20050127451 Tsuchiya et al. Jun 2005 A1
20050136626 Morse Jun 2005 A1
20050139860 Snyder et al. Jun 2005 A1
20050145941 Bedell et al. Jul 2005 A1
20050145954 Zhu et al. Jul 2005 A1
20050148161 Chen et al. Jul 2005 A1
20050156169 Chu Jul 2005 A1
20050156202 Rhee et al. Jul 2005 A1
20050161711 Chu Jul 2005 A1
20050164475 Peckerar et al. Jul 2005 A1
20050167677 Okuyama Aug 2005 A1
20050181549 Barr et al. Aug 2005 A1
20050184302 Kobayashi et al. Aug 2005 A1
20050205859 Currie et al. Sep 2005 A1
20050205932 Cohen Sep 2005 A1
20050211291 Bianchi Sep 2005 A1
20050212051 Jozwiak et al. Sep 2005 A1
20050217565 Lahreche et al. Oct 2005 A1
20050245095 Haskell et al. Nov 2005 A1
20050263751 Hall et al. Dec 2005 A1
20050274409 Fetzer et al. Dec 2005 A1
20050280103 Langdo et al. Dec 2005 A1
20060009012 Leitz et al. Jan 2006 A1
20060019462 Cheng et al. Jan 2006 A1
20060049409 Rafferty et al. Mar 2006 A1
20060057825 Bude et al. Mar 2006 A1
20060073681 Han Apr 2006 A1
20060105533 Chong et al. May 2006 A1
20060112986 Atwater et al. Jun 2006 A1
20060113603 Currie Jun 2006 A1
20060128124 Haskell et al. Jun 2006 A1
20060131606 Cheng Jun 2006 A1
20060144435 Wanlass Jul 2006 A1
20060145264 Chidambarrao et al. Jul 2006 A1
20060160291 Lee et al. Jul 2006 A1
20060162768 Wanlass et al. Jul 2006 A1
20060166437 Korber Jul 2006 A1
20060169987 Miura et al. Aug 2006 A1
20060175601 Lieber et al. Aug 2006 A1
20060186510 Lochtefeld et al. Aug 2006 A1
20060189056 Ko et al. Aug 2006 A1
20060197123 Lochtefeld et al. Sep 2006 A1
20060197124 Lochtefeld et al. Sep 2006 A1
20060197126 Lochtefeld et al. Sep 2006 A1
20060202276 Kato Sep 2006 A1
20060205197 Yi et al. Sep 2006 A1
20060211210 Bhat et al. Sep 2006 A1
20060266281 Beaumont et al. Nov 2006 A1
20060267047 Murayama Nov 2006 A1
20060292719 Lochtefeld et al. Dec 2006 A1
20070025670 Pan et al. Feb 2007 A1
20070029643 Johnson et al. Feb 2007 A1
20070054465 Currie et al. Mar 2007 A1
20070054467 Currie et al. Mar 2007 A1
20070099315 Maa et al. May 2007 A1
20070099329 Maa May 2007 A1
20070102721 DenBaars et al. May 2007 A1
20070105256 Fitzgerald May 2007 A1
20070105274 Fitzgerald May 2007 A1
20070105335 Fitzgerald May 2007 A1
20070181977 Lochtefeld et al. Aug 2007 A1
20070187668 Noguchi et al. Aug 2007 A1
20070187796 Rafferty et al. Aug 2007 A1
20070196987 Chidambarrao et al. Aug 2007 A1
20070248132 Kikuchi et al. Oct 2007 A1
20070267722 Lochtefeld et al. Nov 2007 A1
20080001169 Lochtefeld Jan 2008 A1
20080070355 Lochtefeld et al. Mar 2008 A1
20080073641 Cheng et al. Mar 2008 A1
20080073667 Lochtefeld Mar 2008 A1
20080093622 Li et al. Apr 2008 A1
20080099785 Bai et al. May 2008 A1
20080110486 Tsakalakos May 2008 A1
20080154197 Derrico et al. Jun 2008 A1
20080187018 Li Aug 2008 A1
20080194078 Akiyama et al. Aug 2008 A1
20080245400 Li Oct 2008 A1
20080286957 Lee et al. Nov 2008 A1
20090039361 Li et al. Feb 2009 A1
20090042344 Ye et al. Feb 2009 A1
20090065047 Fiorenza et al. Mar 2009 A1
20090072284 King et al. Mar 2009 A1
20090110898 Levy et al. Apr 2009 A1
20090321882 Park Dec 2009 A1
20100012976 Hydrick et al. Jan 2010 A1
20100025683 Cheng Feb 2010 A1
20100072515 Park et al. Mar 2010 A1
20100078680 Cheng et al. Apr 2010 A1
20100139759 Aagesen Jun 2010 A1
20100176371 Lochtefeld Jul 2010 A1
20100176375 Lochtefeld Jul 2010 A1
20100213511 Lochtefeld Aug 2010 A1
20100216277 Fiorenza et al. Aug 2010 A1
20100252861 Lochtefeld Oct 2010 A1
20100308376 Takada et al. Dec 2010 A1
20110011438 Li Jan 2011 A1
20110049568 Lochtefeld et al. Mar 2011 A1
20110086498 Cheng et al. Apr 2011 A1
Foreign Referenced Citations (54)
Number Date Country
2550906 May 2003 CN
10017137 Oct 2000 DE
10320160 Aug 2004 DE
0352472 Jun 1989 EP
0600276 Jun 1994 EP
0817096 Jan 1998 EP
1551063 Jul 2005 EP
1796180 Jun 2007 EP
1870945 Dec 2007 EP
2215514 Sep 1989 GB
7230952 Aug 1995 GB
10126010 May 1998 JP
10284436 Oct 1998 JP
10284507 Oct 1998 JP
11251684 Sep 1999 JP
11307866 Nov 1999 JP
2000021789 Jan 2000 JP
2000216432 Aug 2000 JP
2000286449 Oct 2000 JP
2000299532 Oct 2000 JP
2001007447 Jan 2001 JP
2001102678 Apr 2001 JP
3202223 Aug 2001 JP
2001257351 Sep 2001 JP
2002118255 Apr 2002 JP
2002141553 May 2002 JP
2002241192 Aug 2002 JP
2002293698 Oct 2002 JP
2003163370 Jun 2003 JP
3515974 Apr 2004 JP
2004200375 Jul 2004 JP
2009177167 Aug 2009 JP
20030065631 Aug 2003 KR
20090010284 Jan 2009 KR
544930 Aug 2003 TW
200072383 Nov 2000 WO
2001001465 Jan 2001 WO
200209187 Jan 2002 WO
02086952 Oct 2002 WO
2002088834 Nov 2002 WO
2003073517 Sep 2003 WO
2004004927 Jan 2004 WO
05013375 Feb 2005 WO
2005013375 Feb 2005 WO
050483300 May 2005 WO
2005048330 May 2005 WO
2005098963 Oct 2005 WO
051222267 Dec 2005 WO
2005122267 Dec 2005 WO
2006025407 Mar 2006 WO
WO-2006108359 Oct 2006 WO
06125040 Nov 2006 WO
2006125040 Nov 2006 WO
2008124154 Oct 2008 WO
Non-Patent Literature Citations (248)
Entry
Ting, et al., “Modeling Spin-Dependent Transport in InAS/GaSb/AlSb Resonant Tunneling Structures,” 1 J. Computational Electronics, 2002, pp. 147-151.
Tomiya, “Dependency of crystallographic tilt and defect distribution on mask material in epitaxial lateral overgrown GaN layers,” Applied Physics Letters vol. 77, No. 5, pp. 636-638.
Tomiya, S. et al., “Dislocation related issues in the degradation of GaN-based laser diodes,” IEEE Journal of Selected Topics in Quantum Electronics, vol. 10, No. 6, pp. 1277-1286, Nov.-Dec. 2004.
Tran et al., “Growth and Characterization of InP on Silicon by MOCVD,” Journal of Crystal Growth, vol. 121, (1992) pp. 365-372.
Tsai, et al., “InP/InGaAs resonant tunneling diode with six-route negative differential resistances,” 13th European Gallium Arsenide and other Compound Semiconductors Aplication Symp., 2006, pp. 421-423.
Tsang, W. et al., “The heteroepitaxial ridge-overgrown distributed feedback laser,” IEEE Journal of Quantum Electronics, vol. QE-21, No. 6, pp. 519-526, Jun. 1985.
Tsaur, B.-Y. et al., “Low-dislocation-density GaAs epilayers grown on Ge-coated Si substrates by means of lateral epitaxial overgrowth,” Applied Physics Letters, v 41, n 4, Aug. 15, 1982, pp. 347-349.
Tseng et al., “Effects of Isolation Materials on Facet Formation for Silicon Selective Epitaxial Growth,” 71 Appl. Phys. Letters 16, pp. 2328 (1997).
Tsuji et al., “Selective Epitaxial Growth of GaAs on Si with Strained Sort-period Superlattices by Molecular Beam Epitaxy under Atomic Hydrogen Irradiation,” J. Vac. Sci. Techno. B., vol. 22, No. 3, (May/Jun. 2004) pp. 1428-1431.
Usuda et al., “Strain relaxation of strained-Si layers on SiGe-on-insulator (SGOI) structures after mesa isolation,” Applied Surface Sci. 224, pp. 113-116 (2004).
Usui et al., “Thick GaN Epitaxial Growth with Low Dislocation Density by Hydride Vapor Phase Epitazy,” 36 Jao. J. of Applied Physics, pp. L899-L902 (1997).
Vanamu et al., “Epitaxial Growth of High-quality Ge Films on Nanostructured Silicon Substrates,” Applied Physics Letters, vol. 88, (2006) pp. 204104-1-204104-3.
Vanamu et al., “Growth of High Quality Ge/Si1-xGex on Nano-scale Patterned Si Structures,” J. Vac. Sci. Techn. B, vol. 23, No. 4, (Jul./Aug. 2005) pp. 1622-1629.
Vanamu et al., “Heteroepitaxial Growth on Microscale Patterned Silicon Structures,” Journal of Crystal Growth, vol. 280, (2005) pp. 66-74.
Vanamu et al., “Improving Ge/SixGe1-x Film Quality through Growth onto Patterned Silicon Substrates,” Advances in Electronics Manufacturing Technology, V-EMT 1:25 (Nov. 8, 2004), pp. 1-4.
Vescan et al., “Lateral confinement by low pressure chemical vapor deposition-based selective epitaxial growth of Si1-xGex/Si nanostructures,” 81 J. of Applied Physics 10, pp. 6709-6715 (1997).
Vetury et al., “First Demonstration of AlGaN/GaN Heterostructure Field Effect Transistor on GaN grown by lateral epitaxial overgrowth (ELO),” Inst. Phys. Cont. Ser. No. 162: Ch. 5, pp. 177-183.
Walker, et al., “Magnetotunneling spectroscopy of ring-shaped (InGa)As quantum dots: Evidence of excited states with 2pz character,” 32 Physica E 1-2, May 2006, pp. 57-60.
Watanabe, et al., “Fluoride resonant tunneling diodes on Si substrates,” IEEE International Semiconductor Device Research Symp. Dec. 2005, pp. 177-178.
Wernersson et al., “InAs Epitaxial Lateral growth of W Marks,” Journal of Crystal Growth, vol. 280 (2005), pp. 81-86.
Williams et al., “Etch Rates for Micromachining Processing—Part II,” Journal of Microelectromechnical Systems, vol. 5, No. 4, Dec. 1996, pp. 256-269.
Wu et al., “Enhancement-mode InP n-channel metal-oxide-semiconductor field-effect-transistors with atomic-layer-deposited Al203 dielectrics,” Applied Physics Letters 91, 022108-022110 (2007).
Wu et al., Gross-Sectional Scanning/Tunneling Microscopy Investigations of Cleaned II I-V Heterostructures, Technical report, Dec. 1996, 7 pages.
Wu et al., Inversion-type enhancement-mode InP MOSFETs with ALD Al203, HfAlO nanolaminates as high-k gate dielectrics Proceedings of the 65th Device Research Cont, 2007, pp. 49-52.
Wuu, D.S. et al., “Defect reduction and efficiency improvement of near-ultraviolet emitters via laterally overgrown GaN on a GaN/patterned sapphire template,” Applied Physics Letters, v 89, n 16, Oct. 16, 2006, pp. 161105-1-161105-3.
Xie et al., “From Porous Si to Patterned Si Substrate: Can Misfit Strain Energy in a Continuous Heteroepitaxial Film Be Reduced?” J Va. Sci. Technol. B, vol. 8, No. 2, (Mar./Apr. 1990) pp. 227-231.
Xu et al., “Spin-filter devices based on resonant tunneling antisymmetrical magnetic semiconductor hybrid structures,” 84 App. Phys. Letters 11, pp. 1955-1957 (2004).
Yamaguchi et al., “Analysis for Dislocation Density Reduction in Selective Area Grown GaAs Films on Si Substrates,” Appl. Phys. Lett. vol. 56, No. 1, (Jan. 1, 1990) pp. 27-29.
Yamaguchi et al., “Defect Reduction Effects in GaAs on Si Substrates by Thermal Annealing,” Appl. Phys. Letters 53(23), pp. 2293 (1988).
Yamaguchi et al., “GaAs Solar Cells Grown on Si Substrates for Space Use,” Prog. Photovolt.: Res. Appl. 2001; 9:191-201.
Yamaguchi et al., “Super-high-efficiency Multi-junction Solar Cells,” Prog. Photovolt.: Res. Appl. 2005; 13:125-132.
Yamamoto et al., “Optimization of InP/Si Heteroepitaxial Growth Conditions Using Organometallic Vapor Phase Epitaxy,” Journal of Crystal Growth, vol. 96, (1989) pp. 369-377.
Yang et al., “High Performance CMOS Fabricated on Hybrid Substrate with Different Crystal Orientations,” 2003 IEDM Tech. Dig., pp. 453-456.
Yang et al., “Selective Area Deposited Blue GaN-InGaN Multiple-quantum Well Light Emitting Diodes over Silicon Substrates,” Applied Physics Letter, vol. 76, No. 3, (Jan. 17, 2000) pp. 273-275.
Yanlong, et al., “Monolithically fabricated OEICs using RTD and MSM,” Chinese Journal Semiconductors vol. 27, No. 4, Apr. 2006, pp. 641-645.
Yili, et al., “Physics-based hydrodynamic simulation of direct current characteristics in DBRTD,” 29 Chinese J. Electron Devices 2, Jun. 2006, pp. 365-368.
Yin et al., “Ultrathin Strained-SOI by Stress Balance on Compliant Substrates and FET Performance,” 52 IEEE Trans. on Electron Devices 10, pp. 2207-2214 (2005).
Ying-Long, et al., “Resonant tunneling diodes and high electron mobility transistors integrated on GaAs substrates,” Chinese Physics Letters 23, vol. 3, Mar. 2006, pp. 697-700.
Yoon et al., “Selective Growth of Ge Islands on Noanometer-scale Patterned Si02/Si Substrate by Molecular Beam Epitaxy,” Applied Physics Letters, vol. 89 (2006) pp. 063107-1-063107-3.
Yoshizawa et al., “Growth of self-Organized GaN Nanostructures on Al203 (0001) by RF-Radial Source Molecular Beam Epitaxy”, Japan, Journal of Applied Physics, Part 2, vol. 36, No. 4B, 1997, pp. L459-L462.
Zamir et al., “Thermal Microcrack Distribution Control in GaN Layers on Si Substrates by Lateral Confined Epitaxy,” Applied Physics Letters, vol. 78, No. 3, (Jan. 15, 2001) pp. 288-290.
Zang, K. Y. et al., “Nanoheteroepitaxial lateral overgrowth of GaN on nanoporous Si(111 ),” Applied Physics Letters, v 88, n 14, Apr. 3, 2006, p. 141925.
Zang, K.Y. et al., “Nanoscale lateral epitaxial overgrowth of GaN on Si (111),” Applied Physics Letters, v 87, Nov. 7, 2005, p. 193106-1-193106-3.
Zela et al., “Single-crystalline Ge Grown Epitaxially on Oxidized and Reduced Ge/Si (100) Islands,” Journal of Crystal Growth, vol. 263 (2004) pp. 90-93.
Zhang et al., “Removal of Threading Dislocations from Patterned Heteroepitaxial Semiconductors by Glide to Sidewalls,” Journal of Electronic Materials, vol. 27, No. 11, (1998) pp. 1248-1253.
Zhang et al., “Strain Status of Self-assembled InAs Quantum Dots,” Applied Physics Letters, vol. 77, No. 9, (Aug. 28, 2000) pp. 1295-1297.
Zheleva, T.S. et al., “Lateral epitaxy and dislocation density reduction in selectively grown GaN structures,” Journal of Crystal Growth, v 222, n 4, Feb. 2001, pp. 706-718.
Zubia et al., “Initial Nanoheteroepitaxial Growth of GaAs on Si(100) by OMVPE.” Journal of Electronic Materials, vol. 30, No. 7, (2001) pp. 812-816.
Kollonitsch, et al., “Improved Structure and Performance of the GaAsSb/InP Interface in a Resonant Tunneling Diode,” Journal of Crystal Growth, vol. 287, 2006, pp. 536-540.
Krishnamurthy, et al., “1-V characteristics in resonant tunneling devices: Difference Equation Method,” Journal of Applied Physics, vol. 84, Issue 9, Condensed Matter: Electrical and Magnetic Properties (PACS 71-76), 1998,9 pages.
Krost et al., “GaN-based Optoelectronics on Silicon Substrates,” Materials Science & Engineering, B93 (2002) pp. 77-84.
Kusakabe, K. et al., Characterization of Overgrown GaN layers on Nano-Columns Grown by RF-Molecular Beam Epitaxy, Japan, Journal of Applied Physics, Part 2, vol. 40, No. 3A, 2001, pp. L192-L194.Kusakabe, K. et al., Charactenzation of Overgrown GaN layers on Nano-Columns Grown by RF-Molecular Beam Epitaxy, Japan, Journal of Applied Physics, Part 2, vol. 40, No. 3A, 2001, pp. L192-L194.
Kushida, K. et al., “Epitaxial growth of PbTi03 films on SrTi03 by RF magnetron sputtering,” IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, val. 38, No. 6, pp. 655-662, Nov. 1991.
Kwok K Ng, ComQiete Guide to Semiconductor Devices, 2nd ed., Chapter 18 (2002).
Kwok K. Ng, “Resonant-Tunneling Diode,” Complete Guide to Semiconductor Devices, Chapter 10. Nov. 3, 2010, pp. 75-83.
Lammers, “Trigate and High-k stack up vs. planar,” EETIMES Online, available at: http://www.eetimes.com/showArticle.jhtml?articleI D= 188703323&pgno=2&printable=true (Jun. 12, 2006), 2 pages.
Langdo et al., “High Quality Ge on Si by Epitaxial Necking,” Applied Physics Letters, vol. 76, No. 25 (Jun. 19, 2000), pp. 3700-3702.
Langdo, “Selective SiGe Nanostructures,” Ph.D. Thesis, Massachusetts Institute of Technology (2001).
Lee et al., “Strained-relieved, Dislocation-free InxGa1-xAs/GaAs(001) Heterostructure by Nanoscale-patterned Growth,” Applied Physics Letters, vol. 85, No. 18 (Nov. 1, 2004), pp. 4181-4183.
Lee, S.C. et al., “Growth of GaN on a nanoscale periodic faceted Si substrate by metal organic vapor phase epitaxy,” 2003 International Symposium on Compound Semiconductors: Post-Conference Proceedings, pp. 15-21.
Li et al, “Heteropitaxy of High-quality Ge on Si by Nanoscale Ge seeds Grown through a Thin Layer of Si02,” Applied Physics Letters, vol. 85, No. 11 (Sep. 13, 2004), pp. 1928-1930.
Li et al., Defect Reduction of GaAs Epitaxy on Si (001) Using Selective Aspect Ratio Trapping, 91 Applied Physics Letters 021114-1-021114-3 (2007).
Li et al., “Monolithic Integration of GaAs/InGaAs Lasers on Virtual Ge Substrates via Aspect-Ratio Trapping,” Journal of 291 The Electrochemical Society, vol. 156, No. 7, 2009, pp. H574-H578.
Li et al., “Morphological Evolution and Strain Relaxation of Ge Islands Grown on Chemically Oxidized Si(100) by Molecular-beam Epitaxy,” Journal of Applied Physics, vol. 98, (2005), pp. 073504-1-073504-8.
Li, et al., “Selective Growth of Ge on Si(100) through vias of SiO2 nanotemplate using solid source molecular beam epitaxy,” Applied Physics Letters, vol. 83 (24), Dec. 2003, p. 5032-5034.
Liang et al., “Critical Thickness Enhancement of Epitaxial SiGe films Grown on Small Structures,” Journal of Applied Physics, vol. 97, (2005) pp. 043519-1-043519-7.
Lim et al., “Facet Evolution in Selective Epitaxial Growth of Si by cold-wall ultrahigh vacuum chemical vapor deposition,” J. Vac. Sci. Tech. p. 682 (2004).
Liu et al., “High Quality Single-crystal Ge on Insulator by Liquid-phase Epitaxy on Si Substrates,” Applied Physics Letters, vol. 84, No. 14, (Apr. 4, 2004) pp. 2563-2565.
Liu et al., “Rapid Melt Growth of Germanium Crystals with Self-Aligned Microcrucibles on Si Substrates,” Journal of The Electrochemical Society, vol. 152, No. 8, (2005) G688-G693.
Loo et al., “Successful Selective Epitaxial Si1-xGex, Deposition Process for HBT-BiCMOS and high Mobility Heterojunction pMOS Applications,” 150 J. Electrochem. Soc'y 10, pp. G638-G647 (2003).
Lourdudoss, S. et al., “Semi-insulating epitaxial layers for optoelectronic devices,” 2000 IEEE International Semiconducting and Insulating Materials Conference, pp. 171-178, 2000.
Luan et al., “High-quality Ge Epilayers on Si with Low Threading-dislocation Densities,” Applied Phsics Letters, vol. 75, No. 19, (Nov. 8, 1999) pp. 2909-2911.
Luan, “Ge Photodectors for Si Microphotonics,” Ph.D. Thesis, Massachusetts Institute of Technology, Feb. 2001.
Lubnow et al., “Effect of III/V-Compound Epitaxy on Si Metal-Oxide-Semiconductor Circuits,” Jpn. J. Applied Phys., vol. 33 (1994) pp. 3628-3634.
Luo et al., Enhancement of (IN,Ga) N Light-Emitting Diode Performance by Laser Liftoff and Transfer From Sapphire to Silicon, IEEE Photonics Technology Letters, vol. 14, No. 10, 2002, pp. 1400-1402.
Luryi et al., “New Approach to the High Quality Epitaxial Growth of Latticed-mismatch Materials,” Appl. Phys. Lett., vol. 49, No. 3, (Jul. 21, 1986) pp. 140-142.
Ma, et al., “A small signal equivalent circuit model for resonant tunneling diode,” Chinese Physics Letters, vol. 23, No. 8, Aug. 2006, pp. 2292-2295.
Ma, et al., “Fabrication of An AlAs/In0.53/Ga0.47/As/InAs resonant tunneling diode on InP substrate for high-speed circuit applications,” 27 Chinese J. Semiconductors 6, Jun. 2006, pp. 959-962.
Maekawa, et al., “High PVCR Si/Si1-x/Gex OW RTD formed with a new triple-layer buller,” Materials Science in Semiconductor Processing, vol. 8, 2005, pp. 417-421.
Maezawa, et al., “InP-based resonant tunneling diode/HEMT integrated circuits for ultrahigh-speed operation,” IEEE Nagoya University, Institute for Advanced Research, 2006, pp. 252-257.
Maezawa, et al., “Metamorphic resonant tunneling diodes and its application to chaos generator ICs,” 44 Jap. J. Applied Physics, Part 1, No. 7A, Jul. 2005, pp. 4790-4794.
Martinez et al., “Characterization of GaAs Conformal Layers Grown by Hydride Vapour Phase Epitaxy on Si Substrates by Microphotoluminescence Cathodoluminescence and microRaman,” Journal of Crystal Growth, vol. 210 (2000) pp. 198-202.
Matsunaga et al., “A New Way to Achieve Dislocation-free Heteroepitaxial Growth by Molecular Beam Epitaxy: Vertical Microchannel Epitaxy,” Journal of Crystal Growth, 237-239 (2002) pp. 1460-1465.
Matthews et al., “Defects in Epitaxial Multilayers—Misfit Dislocations,” J. Crystal Growth 27, pp. 118-125 (1974).
Monroy et al., “High UV/visible contrast photodiodes based on epitaxial lateral overgrown GaN layers,” Electronics Letters, vol. 35, No. 17, pp. 1488-1489, Aug. 19, 1999.
Nakano, K. et al., “Epitaxial lateral overgrowth of AIN layers on patterned sapphire substrates,” Source: Physica Status Solidi A, v. 203, n. 7, May 2006, pp. 1632-1635.
Nam et al., “Lateral Epitaxy of Low Defect Density GaN Layers via Organometallic Vapor Phase Epitaxy,” Appl. Phys. Letters, vol. 71, No. 18, (Nov. 3, 1997) pp. 2638-2640.
Naoi et al, “Epitaxial Lateral Overgrowth of GaN on Selected-area Si( 111) Substrate with Nitrided Si Mask,” Journal of Crystal Growth, vol. 248, (2003) pp. 573-577.
Naritsuka et al., “InP Layer Grown on (001) Silicon Substrate by Epitaxial Lateral Overgrowth,” Jpn. J. Appl. Physics, vol. 34 (1995), pp. L1432-L1435.
Naritsuka et al., “Vertical Cavity Surface Emitting Laser Fabricated on GaAs Laterally Grown on Si Substrate,” Electrochemical Soc'y Proc. vol. 97-21, pp. 86-90.
Neudeck et al., “Novel silicon epitaxy for advanced MOSFET devices,” 2000 IEDM Technical Digest, pp. 169-172.
Neumann et al., “Growth of III-V resonant tunneling diode on Si Substrate with LP-MOVPE,” J. of Crystal Growth 248, pp. 380-383 (2003).
Noborisaka, J., et al., “Catalyst-free growth of GaAs nanowires by selective-area metalorganic vapor-phase epitaxy,” Applied Physics Letters, vol. 86, May 16,2005, pp. 213102-1-213102-3.
Noborisaka, J., et al., “Fabrication and characterization of freestanding GaAs/AlGaAs core-shell nanowires and AlGaAs nanotubes by suing selective-area metalorganic vapor phase epitaxy,” Applied Physics Letters, vol. 87, Aug. 24, 2005, pp. 093109-1-093109-3.
Noda, et al., “Current-voltage characteristics in double-barrier resonant tunneling diodes with embedded GaAs quantum rings,” Physica E 32, 2006, pp. 550-553.
Norman, A.G. et al., “Characterization of MOCVD lateral epitaxial overgrown III-V semiconductor layers on GaAs substrates,” 2003 International Symposium on Compound Semiconductors, pp. 45-46.
Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for PCT/US2010/029552, Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., dated May 26, 2010, 14 pages.
Oehrlein et al., “Studies of the Reactive ion Etching of SiGe Alloys,” J. Vac. Sci. Tech, A9, No. 3, May/Jun. 1991.
Orihashi, et al., “Experimental and theoretical characteristics of sub-terahertz and terahertz oscillations of resonant tunneling diodes integrated with slot antennas,” 44 Jap. J. Applied Physics, Part 1, No. 11, Nov. 2005, pp. 7809-7815.
Parillaud et al, “High Quality InP on Si by Conformal Growth,” Appl. Phys. Lett., vol. 68, No. 19 (May 6, 1996) pp. 2654-2656.
Park et al., “Defect Reduction of Selective Ge Epitaxy in Trenches on Si(001) Substrates using Aspect Ratio Trapping,” 90 Appl. Physics Letters (2007).
Park et al., “Growth of Ge Thick Layers on Si(001) Substrates Using Reduced Pressure Chemical Vapor Deposition,” 45 Japanese J. Applied Physics 11, pp. 8581-8585 (2006).
Park, et al., “Fabrication of Low-Defectivity, Compressively Strained Geon Si0.2Ge0.8 Structures Using Aspect Ratio Trapping,” Journal of the Electrochemical Society, vol. 156, No. 4, 2009, pp. H249-H254.
Pidin et al., “MOSFET Current Drive Optimization Using Silicon Nitride Capping Layer for 65-nm Technology Node,” 2004 Symp. on VLSI Technology, Dig. Tech. Papers, pp. 54-55.
Piffault, N. et al., “Assessment of the strain of InP films on Si obtained by HVPE conformal growth,” Sixth International Conference on Indium Phosphide and Related Materials, Conference Proceedings., pp. 155-158, Mar. 27-31, 1994.
Pribat et al., “High Quality GaAs on Si by Conformal Growth,” Appl. Phys. Lett., vol. 60, No. 17 (Apr. 27, 1992) pp. 2144-2146.
Prost, et al., “High-speed InP-based resonant tunneling diode on silicon substrate,” Proceedings of the 31st European Solid-State Device Research Cont., 2005, pp. 257-260.
Prost, W., ed. QUDOS Technical Report 2002-2004.
Radulovic, et al., “Transient Quantum Drift-Diffusion Modelling of Resonant Tunneling Heterostructure Nanodevices,” Physics of Semiconductors: 27th International Conference on the Physics of Semiconductors—ICPS-27, Jun. 2005 AIP Cont. Proc., pp. 1485-1486.
Reed et al., “Realization of a three-terminal resonant tunneling device: the bipolar quantum resonant tunneling transistor,” 54 Appl. Phys. Letters 11, pp. 1034 (1989).
Ren, D. et al., “Low-dislocation-density, nonplanar GaN templates for buried heterostructure lasers grown by lateral epitaxial overgrowth,” Applied Physics Letters, v 86, Mar. 14, 2005, 111901-1-111901-3.
Rim et al., “Enhanced Hole Mobilities in Surface-channel Strained-Si p-MOSFETs,” 1995 IEDM, pp. 517-520.
Rim et al., “Fabrication and mobility characteristics of ultra-thin strained Si Directly on Insulator (SSDOI) MOSFETs,” 2003 IEDM Tech. Dig., pp. 49-52.
Ringel et al., “Single-junction InGaP/GaAs Solar Cells Grown on Si Substrates with SiGe Buffer Layers,” Prog. Photovolt.: Res. & Appl. 2002, 10:417-426.
Rosenblad et al., “A Plasma Process for Ultrafast Deposition of SiGe Graded Buffer Layers,” 76 Applied Physics Letters 4, pp. 427-429 (2000).
Sakai, “Defect Structure in Selectively Grown GaN films with low threading dislocation density,” Appl. Physics Letters 71(16), pp. 2259-2261 (1997).
Sakai, “Transmission electron microscopy of defects in GaN films formed by epitaxial lateral overgrowth,” 73 App. Physics Letters 4, pp. 481-483 (1998).
Sakawa et al., “Effect of Si Doping on Epitaxial Lateral Overgrowth of GaAs on GaAs-Coated Si Substrate,” Jpn. J. Appl. Physics, vol. 31 (1992), pp. L359-L361.
Sangwoo Pae et al., “Multiple layers of silicon-on-insulator islands fabrication by selective epitaxial growth,” IEEE Electron Device Letters, vol. 20, No. 5, pp. 194-196, May 1999.
Sass, et al., “Strain in GaP/GaAs and GaAs/GaP resonant tunneling heterostructures,” Journal of Crystal Growth, vol. 248, Feb. 2003, pp. 375-379.
Schaub, J.D. et al., “Resonant-cavity-enhanced high-speed Si photodiode grown by epitaxial lateral overgrowth,” IEEE Photonics Technology Letters, vol. 11, No. 12, pp. 1647-1649, Dec. 1999.
Seabaugh et al., “Promise of Tunnel Diode Integrated Circuits,” Tunnel Diode and CMOS/HBT Integration Workshop, Dec. 9, 1999, Naval Research Laboratory.
Shahidi, G. et al., “Fabrication of CMOS on ultrathin SOI obtained by epitaxial lateral overgrowth and chemical-mechanical polishing,” 1990 IEDM Technical Digest, pp. 587-590.
Shichijo et al., “Co-Integration of GaAs MESFET & Si CMOS Circuits,” 9 Elec. Device Letters 9 (1988).
Shubert, E. F., “Resonant tunneling diode (RTD) structures,” Rensselear Polytechnic Institute, 2003, pp. 1-14.
Siekkinen, J.W. et al., “Selective epitaxial growth silicon bipolar transistors for material characterization,” IEEE Transactions on Electron Devices, vol. 35, No. 10, pp. 1640-1644, Oct. 1988.
Silicon, IEEE Photonics Technology Letters, vol. 14, No. 10,2002, pp. 1400-1402.
Su et al., “Catalytic Growth of Group III-nitride Nanowires and Nanostructures by Metalorganic Chemical Vapor Deposition,” Applied Physics Letters, vol. 86 (2005) pp. 013105-1-013105-3.
Su et al., “New planar self-aligned double-gate fully-depleted P-MOSFETs using epitaxial lateral overgrowth (ELO) and selectively grown source/drain (SID),” 2000 IEEE International SOI Conference, pp. 110-111.
Sudirgo et al., “Si-Based Resonant Interband Tunnel Diode/CMOS Integrated Memory Circuits,” Rochester Institute of Technology, IEEE, 2006, pp. 109-112.
Suhara, et al, Characterization of argon fast atom beam source and its application to the fabrication of resonant tunneling diodes 2005 International Microprocesses and Nanotechnology Conf. Di. of Papers, 2005, pp. 132-133.
Sun et al., “Electron resonant tunneling through InAs/GaAs quantum dots embedded in a Schottky diode with an AlAs Insertion layer,” 153 J. Electrochemical Society 153, 2006, pp. G703-G706.
Sun et al., “Room-temperature observation of electron resonant tunneling through InAs/AlAs quantum dots,” 9 Electrochemical and Solid-State Letters 5, May 2006, pp. G167-G170.
Sun et al., “Thermal strain in Indium Phosphide on silicon obtained by Epitaxial Lateral Overgrowth,” 94 J. of Applied Physics 4, pp. 2746-2748 (2003).
Sun, et al., “Selective area growth of InP on InP precoated silicon substrate by hydride vapor phase epitaxy,” 20021nternational Conference on Indium Phosphide and Related Materials Conference, pp. 339-342.
Sun, Y. et al., “Temporally resolved growth of InP in the openings off-oriented from [110] direction,” 2000 International Conference on Indium Phosphide and Related Materials, Conference Proceedings, pp. 227-230.
Sun, Y.T. et al., “InGaAsP multi-quantum wells at 1.5 μm wavelength grown on indium phosphide templates on silicon,” 2003 International Conference on Indium Phosphide and Related Materials, pp. 277-280.
Sun, Y.T.; Lourdudoss, S., “Sulfur doped indium phosphide on silicon substrate grown by epitaxial lateral overgrowth,” 2004 International Conference on Indium Phosphide and Related Materials, pp. 334-337.
Suryanarayanan, G. et al., “Microstructure of lateral epitaxial overgrown InAs on (100) GaAs substrates,” Applied Physics Letters, v 83, n 10, Sep. 8, 2003, pp. 1977-1979.
Suzuki, et al., “Mutual injection locking between sub-THz oscillating resonant tunneling diodes,” Japan Science and Technology Agency, IEEE, Joint 30th International Conference on Infrared and Millimeter Waves & 13th International, 2005.
Takasuka et al., “AlGaAs/InGaAs DFB Laser by One-Time Selective MOCVD Growth on a Grating Substrate,” 43 Jap. J. App. Phys. 48 (2004) pp. 2019-2022.
Takasuka et al., “InGaAs/AlGaAs Quantum Wire DFB Buried HeteroStructure Laser Diode by One-Time Selective MOCVD on Ridge Substrate,” 44 Jap. J. App. Phys. 48 (2005) pp. 2546-2548.
Tamura et al., “Heteroepitaxy on high-quality GaAs on Si for Optical Interconnections on Si Chip,” Proceedings of the SPIE, vol. 2400, pp. 128-139 (1995).
Tamura et al., “Threading Dislocations in GaAs on Pre-patterned Si and in Post-patterned GaAs on Si,” Journal of Crystal Growth, vol. 147, (1995) pp. 264-273.
Tanaka et al., “Structural Characterization of GaN Laterally Overgrown on a (111) Si Substrate,” Applied Physics Letters, vol. 79, No. 7 (Aug. 13, 2001) pp. 955-957.
Thean et al., “Uniaxial-Biaxial Hybridization for Super-Critical Strained-Si Directly on Insulator (SC-SSOI) PMOS with Different Channel Orientations,” IEEE, pp. 1-4 (2005).
Thelander, et al., “Heterostructures incorporated in one-dimensional semiconductor materials and devices,” Physics of Semiconductors, vol. 171, 2002, 1 page. Abstract Only.
Thompson et al., “A Logic Nanotechnology Featuring Strained-Silicon,” 251EEE Electron Device Letters 4, pp. 191-193 (2004).
“Communication pursuant to Article 94(3) EPC,” Application No. 06 770 525.1-2203, Applicant: Taiwan Semiconductor Company, Ltd., Feb. 17, 2011, 4 pages.
68 Applied Physics Letters 7, pp. 774-779 (1999). (trans. of relevant portions attached).
Ames, “Intel Says More Efficient Chips are Coming,” PC World, available at: http://www.pcworld.com/printable/article/id, 126044/printable.html (Jun. 12, 2006); 4 pages.
Asano, T. et al., “AlGalnN laser diodes grown on an ELO-GaN substrate vs. on a sapphire substrate,” 2000 IEEE Semiconductor Laser Conference, Conference Digest, pp. 109-110.
Asaoka, et al., “Observation of 1 f noise of GaInP/GaAs triple barrier resonant tunneling diodes,” AIP Cont. Proc., vol. 780, Issue 1, 2005, pp. 492-495.
Ashby, C.I.H. et al., “Low-dislocation-density GaN from a single growth on a textured substrate,” Applied Physics Letters, v 77, n 20, Nov. 13, 2000, pp. 3233-3235.
Ashley et al., Heternogeneous InSb Quantum Well Transistors on Silicon for ultra-high speed, low power logic applications, 43 Electronics Letters 14 (Jul. 2007).
Bai et al, “Study of the defect elimination mechanisms in aspect ratio trapping Ge growth,” Appl. Phys. Letters, vol. 90 (2007).
Bakkers et al., “Epitaxial Growth on InP Nanowires on Germanium,” Nature Materials, vol. 3 (Nov. 2004), pp. 769-773.
Baron et al., “Chemical Vapor Deposition of Ge Nanocrystals on Si02,” Applied Physics Letters, vol. 83, No. 7 (Aug. 18, 2003), pp. 1444-1446.
Bean et al., “GexSi1-x/Si strained-later superlattice grown by molecular beam epitaxy,” J. Vac. Sci. Tech. A (2)2, pp. 436-440 (1984).
Beckett et al., “Towards a reconfigurable nanocomputer platform,” ACM Int'l. Cont. Proceeding Series, vol. 19, pp. 141-150 (2002).
Beltz et al., “A Theoretical Model for Threading Dislocation Reduction During Selective Area Growth,” Materials Science and Engineering, A234-236 (1997}, pp. 794-797.
Belyaev, et al., “Resonance and current instabilities in AIn/GaN resonant tunneling diodes,” 21 Physica E 2-4, 2004, pp. 752-755.
Berg, J., “Electrical Characterization of Silicon Nanogaps,” Doktorsavhandlingar vid Chalmers Tekniska Hagskola, 2005, No. 2355, 2 pages.
Bergman et al. “RTD/CMOS Nanoelectronic Circuits: Thin-Film InP-based Resonant Tunneling Diodes Integrated with CMOS circuits,” 20 Electron Device Letters 3, pp. 119-122 (1999).
Blakeslee, “The Use of Superlattices to Block the Propagation of Dislocations in Semiconductors,” Mat. Res. Soc. Symp. Proc. 148, pp. 217-227.
Bogumilowicz et al., “Chemical Vapour Etching of Si, SiGe and Ge with HCL: Applications to the Formation of Thin Relaxed SiGe Buffers and to the Revelation ing Dislocations,” 20 Semicond. Sci. Tech. 2005, pp. 127-134.
Borland, J.O., “Novel device structures by selective expitaial growth (SEG),” 1987 International Electron Devices Meeting pp. 12-15.
Bryskiewicz, T., “Dislocation filtering in SiGe and InGaAs buffer layers grown by selective lateral overgrowth method,” Applied Physics Letters, v 66, n 10, Mar. 6, 1995, pp. 1237-1239.
Burenkov et al., “Corner Effect in Double and Triple Gate FinFETs,” European solid-state device research, 33rd Conference on Essderc '03 Sep. 16-18, 2003, Piscataway, NJ, USA, IEEE, vol. 16, pp. 135-138, XPo10676716.
Bushroa, A.R. et al., “Lateral epitaxial overgrowth and reduction in defect density of 3C-SiC on patterned Si substrates,” Journal of Crystal Growth, v 271, Oct. 15, 2004, pp. 200-206.
Calado, et al., “Modeling of a resonant tunneling diode optical modulator,” University of Algarve, Department of Electronics and Electrical Engineering, 2005, pp. 96-99.
Campo et al., “Comparison of Etching Processes of Silicon and Germanium in SF6-02 Radio-Frequency Plasma,” 13 Journal of Vac. Sci. Tech., B-2, 1995, pp. 235-241.
Cannon et al., “Monolithic Si-based Technology for Optical Receiver Circuits,” Proceedings of SPIE, vol. 4999 (2003), pp. 145-155.
Chan et al., “Influence of metalorganic Sources on the Composition Uniformity of Selectively Grown GaxIn1-xP,” Jpn J. Appl. Phys., vol. 33 (1994) pp. 4812-4819.
Chang et al. “3-D simulation of strained Si/SiGe heterojunction FinFETs” Semiconductor Device Research Symposium, Dec. 10-12, 2003, pp. 176-177.
Chang et al., “Epitaxial Lateral Overgrowth of Wide Dislocation-Free GaAs on Si Substrates,” Electrochemical Soc'y Proceedings, vol. 97-21, pp. 196-200, 1998.
Chang, Y.S. et al., “Effect of growth temperature on epitaxial lateral overgrowth of GaAs on Si substrate,” Journal of Crystal Growth 174, Apr. 1997, p. 630-634.
Chau et al., “Opportunities and Challenges of 111-V Nanoelectronics for Future High-Speed, Low Power Logic Applications,” IEEE CSIC Digest, QQ. 17-20 (2005).
Chen, Y. et al., “Dislocation reduction in GaN thin films via lateral overgrowth from trenches,” Applied Physics Letters, v 75, n 14, Oct. 4, 1999, pp. 2062-2063.
Chengrong, et al., “DBRTD with a high PVCR and a peak current density at room temperature,” Chinese Journal of Semiconductors vol. 26, No. 10, Oct. 2005, pp. 1871-1874.
Choi et al., “Monolithic Integration of GaAs/AlGaAs Double-Heterostructure LED's and Si MOSFET's,” Electon Device Letters, v. EDL-7, No. 9 (1986).
Choi et al., “Monolithic Integration of GaAs/AlGaAs LED and Si Driver Circuit,” 9 Electron Device Letters 10 (1988).
Choi et al., “Monolithic Integration of Si MOSFET's and GaAs MESFET's,” Electron Device Letters, v. 7, No. 4(1986).
Choi, et al., “Low-voltage low-power K-band balanced RTD-based MMIC VCO,” 2006 IEEE, Department of EECS, Korea Advanced Institute of Science and Technology, 2006, pp. 743-746.
Cloutier et al., “Optical gain and stimulated emission in periodic nanopattemed crystalline silicon,” Nature Materials, Nov. 2005.
Currie et al., “Carver Mobilities and Process Stability of Strained Sin- and p-MOSFETs on SiGe Virtual Substrates,” J. Vac. Sci. Tech. B 19(6), pp. 2268-2279 (2001).
Dadgar et al., “MOVPE Growth of GaN on Si (111) Substrates,” Journal of Crystal Growth, 248 (2003) pp. 556-562.
Datta et al., “Silicon and 111-V Nanoelectronics,” IEEE Int'l. Cont. on Indium Phosphide & Related Mat., pp. 7-8 (2005).
Datta et al., “Ultrahigh-Speed 05 V Supply Voltage Ino.7Gao.:JAs Quantum-Well Transistors on Silicon Substrate,” 28 Electron Device Letters 8, pp. 685-687 (2007).
Davis, R.F. et al., “Lateral epitaxial overgrowth of and defect reduction in GaN thin films,” 1998 IEEE Lasers and Electro-Optics Society Annual Meeting, pp. 360-361.
de Boeck et al., “The fabrication on a novel composite GaAs/Si02 nucleation layer on silicon for heteroepitaxial overgrowth by molecular beam epitaxy,” Mat. Sci. and Engineering, B9 (1991), pp. 137-141.
Donaton et al., “Design and Fabrication of MOSFETs with a Reverse Embedded SiGe (Rev. e-SiGe) Structure,” 2006 IEDM, pp. 465-468.
Dong et al., “Selective area growth of InP through narrow openings by MOCVD and its application to InP HBT,” 2003 International Conference on Indium Phosphide and Related Materials,pp. 389-392.
Dong-He Kim et al., “GaN nano epitaxial lateral overgrowth on holographically patterned substrates,” 2003 International Symposium on Compound Semiconductors, pp. 27-28.
Epitaxial Lateral Overgrowth of GaAs on a Si Substrate, 28 Jap. J. App. Physics 3, pp. L337-L339 (Mar. 1989).
Fang et al.,“Electrically pumped hybrid AlGaInAs-silicon evanescent laser,” 14 Optics Express 20, pp. 9203-9210 (2006).
Feltin, E. et al., “Epitaxial lateral overgrowth of GaN on Si (111 ),” Joumal of Applied Physics, v 93, n 1, Jan. 1, 2003, pp. 182-185.
Feng et al., “Integration of Germanium-on-Insulator and Silicon MOSFETs on a Silicon Substrate,” 27 Electron Device Letters 11, pp. 911-913 (2006).
Fiorenza et al., “Film Thickness Constraints for Manufacturable Strained Silicon CMOS,” 19 Semiconductor Sci. Technol., p. L4 (2004).
Fischer et al., “State of stress and critical thickness of Strained small-area SiGe layers,” Phys. Stat. Sol. (a) 171, pp. 475-485 (1999).
Fitzgerald et al., “Elimination of Dislocations in Heteroepitaxial MBE and RTCVD GexSi,.x Grown on Patterned Si Substrates,” Journal of Electronic Materials, vol. 19, No. 9 (1990), pp. 949-955.
Fitzgerald et al., “Epitaxial Necking in GaAs Grown on Pre-patterned Si Substrates,” Journal of Electronic Materials, vol. 20, No. 10 (1991), pp. 839-853.
Fitzgerald et al., “Nucleation Mechanisms and the Elimination of Misfit Dislocations at Mismatched Interfaces by Reduction in Growth Area,” J. Applied Phys., vol. 65, No. 6, (Mar. 15, 1989), pp. 2220-2237.
Fitzgerald et al., “Structure and recombination in InGaAs/GaAs heterostructures,” 63 Journal of Applied Physics 3, pp. 693-703 (1988).
Fitzgerald et al., “Totally relaxed GexSi1-x layers with low threading dislocation densities grown on Si Substrates,” 59 Applied Physics Letters 7, pp. 811-813 (1991 ).
Fitzgerald , “The Effect of Substrate Growth Area on Misfit and Threading Dislocation Densities in Mismatched Heterostructures,” J. Vac. Sci. Techno!., vol. 7, No. 4 (Jul./Aug. 1989), pp. 782-788.
Gallagher et al., “Development of the magnetic tunnel junction MRAM at IBM: From first junctions to a 16-Mb MRAM demonstrator chip,” 50 IBM J. Research & Dev. 1 (2006).
Galles et al, “Influence of Doping on Facet Formation at the Si02/Si Interface,” Surface Sci. 440 pp. 41-48 (1999).
Geppert, L., “Quantum transistors: toward nanoelectronics,” IEEE Spectrum, pp. 46-51 (Sep. 2000).
Gibbon et al., “Selective-area low-pressure MOCVD of GaInAsP and related materials on I planar InP substrates” Semicond Sci Tech. 8, pp. 998-1010 (1993).
Glew et al., “New DFB grating structure using dopant-induced refractive index step,” J. Crystal Growth 261 (2004) pp. 349-354.
Golka, et al., “Negative differential resistance in dislocation-free GaN/AlGan double-barrier diodes grown on bulk GaN,” 88 Applied Physics Letters 17, Apr. 2006, pp. 172106-1-172106-3.
Goodnick, S.M., “Radiation Physics and Reliability Issues in II I-V Compound Semiconductor Nanoscale 270 Heterostructure Devices,” Final Technical Report, Arizona State Univ. Dept. Electrical & Computer Eng, 80 pages, 1996-1999.
Gould et al., “Magnetic resonant tunneling diodes as voltage-controlled spin selectors,” 241 Phys. Stat. Sol. (B) 3, pp. 700-703 (2004).
Groenert et al., “Monolithic integration of room-temperature cw GaAs/AlGaAs lasers on Si substrates via relaxed graded GeSi buffer layers,” 93 J. Appl. Phys. 362 (2003).
Gruber, et al., “Semimagnetic Resonant Tunneling Diodes for Electron Spin Manipulation,” Nanostructures: Physics & Technology, 8th International Symposium, 2000, pp. 483-486.
Gustafsson et al., “Cathodoluminescence from relaxed GexSh.x grown by heteroepitaxial lateral overgrowth,” J. Crystal Growth 141 (1994), pp. 363-370.
Gustafsson et al., “Investigations of high quality GexSh.xgrown by heteroepitaxiallateral I overgrowth using cathodoluminescence,” Inst. Phys. Cont. Ser. No. 134: Section 11, pp. 675-678 (1993).
Hammerschmidt, “Intel to Use Trigate Transistors from 2009 on,” EETIMES Online, available at: http://www.eetimes.com/showArticle.jhtml?articleiD=189400035 (Jun. 12, 2006), 1 page.
Hasegawa, et al., “Sensing Terahertz Signals with II I-V Quantum Nanostructures,” Quantum Sensing: Evolution and Revolution from Past to Future, SPIE 2003, pp. 96-105.
Hayafuji et al., Jap. J. Appl. Phys. 29, pp. 2371 (1990).
Hersee, et al., “The Controlled Growth of GaN Nanowires,” Nano Letters, vol. 6, No. 8 (2006), IPP-1808-1811.
Hiramatsu, K. et al., “Fabrication and characterization of low defect density GaN using facetcontrolled epitaxial lateral overgrowth (FACELO),” Journal of Crystal Growth, v 221, Dec. 2000, pp. 316-326.
Hollander et al., “Strain and Misfit Dislocation Density in Finite Lateral Size Sh.xGex Films Grown by Sective Epitaxy,” Thin Solid Films, vol. 292, (1997) pp. 213-217.
Hu et al., “Growth of Well-Aligned Carbon Nanotube arrays on Silicon Substrates using Porous Alumina Film as a Nanotemolate,” 79 App. Physics Letters 19 (2001).
Huang et al., “Electron and Hole Mobility Enhancement in Strained SOI by Wafer Bonding,” 49 IEEE Trans. on Electron Devices 9, pp. 1566-1570 (2002).
Hydrick et al., “Chemical Mechanical Polishing of Epitaxial Germanium on Si02-patterned Si(001) Substrates,” ECS Transactions, 16 (10), 2008, (pp. 237-248).
Intel Press Release, “Intel's Tri-Gate Transistor to Enable Next Era in Energy-Efficient Performance,” Intel Corporation (Jun. 12, 2006), 2 pages.
Intel to Develop Tri-Gate Transistors Based Processors, available at: http://news.techwhack.com/3822/tri-gatetransistors/ (Jun. 13, 2006) 6 pages.
International Technology Roadmap for Semiconductors- Front End Processes, pp. 1-62 (2005).
Ipri, A. C. et al., “MONO/POLY technology for fabricating low-capacitance CMOS integrated circuits,” IEEE Transactions on Electron Devices, vol. 35, No. 8, pp. 1382-1383, Aug. 1988.
Ishibashi, et al., “3rd Topical Workshop on Heterostructure Microelectronics for Information Systems Applications,” Aug.-Sep. 1998, 115 pages.
Ishitani et al., “Facet Formation in Selective Silicon Epitaxial Growth,” 24 Jap. J. Appl. Phys. 10, pp. 1267-1269 (1985).
Ismail et al., “High-quality GaAs on sawtooth-patterned Si substrates,” 59 Applied Physics Letters 19, pp. 2418-2420 (1991).
Jain et al., “Stresses in strained GeSi stripes and quantum structures: calculation using the finite element method and determination using micro-Raman and other measurements,” Thin Solid Films 292 (1997) pp. 218-226.
Jeong, et al., “Performance improvement of InP-based differential HBT VCO using the resonant tunneling diode,” 2006 International Cont. on Indium Phosphide and Related Mat. Cont. Proc., pp. 42-45.
Jing Wang et al., “Fabrication of patterned sapphire substrate by wet chemical etching for maskless lateral overgrowth of GaN,” Journal of the Electrochemical Society, v. 153, n. 3, Mar. 2006, pp. C182-C185.
Ju, W. et al. , “Epitaxial lateral overgrowth of gallium nitride on silicon substrate,” Journal of Crystal Growth, v. 263, Mar. 1, 2004, pp. 30-34.
Kamins et al., “Kinetics of Selective Epitaxial Depostion of Si1-xGex,” Hewlett-Packard Company, Palo Alto, CA, Appl. Phys. Lett. 61 (6), Aug. 10, 1992 (pp. 669-671 ).
Kamiyama, S. et al., “UV laser diode with 350.9-nm-lasing wavelength grown by heteroepitaxial-lateral overgrowth technology,” IEEE Journal of Selected Topics in Quantum Electronics, vol. 11, No. 5, pp. 1069-1073, Sep.-Oct. 2005.
Kamiyama, S. et al., “UV light-emitting diode fabricated on hetero-ELO-grown Alo.22Gao.1An with low dislocation density,” Physica Status Solidi A, v 192, n 2, Aug. 2002, pp. 296-300.
Kawai, et al., “Epitaxial Growth of InN Films and InN Nano-Columns by RF-MBE,” The Institute of Electronics, Information and Communication Engineers, Gijutsu Kenkyu, vol. 13, No. 343 (CPM2003 1 02-116), 2003, pp. 33-37.
Kazi et al., “Realization of GaAs/AlGaAs Lasers on Si Substrates Using Epitaxial Lateral Overgrowth by Metalorganic Chemical Vapor Deposition,” Jpn. J. Appl. Physics, vol. 40 (2001), pp. 4903-4906.
Kidoguchi, I. et al., “Air-bridged lateral epitaxial overgrowth of GaN thin films,” Applied Physics Letters, v 76, n 25, Jun. 19, 2000, p. 3768-3770.
Kim et al., “Silicon-Based Field-Induced Band-to-Band Tunneling Effect Transistor,” IEEE Electron Device Letters, No. 25, No. 6, 2004, pp. 439-441.
Kimura et al., “Vibronic Fine Structure Found in the Blue Luminescence from Silicon Nanocolloids,” Jpn. J. Appl. Physics, vol. 38 (1999), pp. 609-612.
Klapper, “Generation and Propagation of Dislocations During Crystal Growth,” Mat. Chem. and Phys. 66, pp. 101-109 (2000).
Knall et al., Threading Dislocations in GaAs Grown with Free Sidewalls on Si mesas, J Vac. Sci. Techno!. B, vol. 12, No. 6, (Nov./Dec. 1994) pp. 3069-3074.
Related Publications (1)
Number Date Country
20170077330 A1 Mar 2017 US
Provisional Applications (1)
Number Date Country
60922533 Apr 2007 US
Divisions (1)
Number Date Country
Parent 12100131 Apr 2008 US
Child 15360044 US