POLYMER, PHOTORESIST COMPOSITIONS INCLUDING THE SAME, AND PATTERN FORMATION METHODS

Information

  • Patent Application
  • 20240184201
  • Publication Number
    20240184201
  • Date Filed
    November 11, 2022
    a year ago
  • Date Published
    June 06, 2024
    a month ago
Abstract
A polymer including a first repeating unit derived from a first monomer represented by formula (1); and a second repeating unit comprising an acid labile group, a hydroxyaryl group, a sulfonamide group, a fluoroalcohol group, or a combination thereof,
Description
FIELD

The present invention relates to polymers for photoresist compositions and to pattern formation methods using such photoresist compositions. The invention finds applicability in lithographic applications in the semiconductor manufacturing industry.


BACKGROUND

Photoresist materials are photosensitive compositions typically used for transferring an image to one or more underlying layers such as a metal, semiconductor or dielectric layer disposed on a semiconductor substrate. To increase the integration density of semiconductor devices and allow for the formation of structures having dimensions in the nanometer range, photoresists and photolithography processing tools having high-resolution capabilities have been and continue to be developed.


Chemically amplified photoresists are conventionally used for high-resolution processing. Such resists typically employ a polymer having acid-labile groups, a photoacid generator and an acid quenching material. Pattern-wise exposure to activating radiation through a photomask causes the acid generator to form an acid which, during post-exposure baking, causes cleavage of the acid-labile groups in exposed regions of the polymer. Acid quenching materials are often added to the photoresist composition for controlling the diffusion of the acid to unexposed region to improve the contrast. The result of the lithographic process is the creation of difference in solubility characteristics between exposed and unexposed regions of the resist in a developer solution. In a positive tone development (PTD) process, exposed regions of the photoresist layer become soluble in the developer and are removed from the substrate surface, whereas unexposed regions, which are insoluble in the developer, remain after development to form a positive image. The resulting relief image permits selective processing of the substrate.


Despite the advancement in resist technology, there is still a need for photoresists compositions that address one or more problems associated with the state of the art. In particular, there is a continuing need for photoresist compositions that may be used at increased pattern densities, including photoresist compositions that can achieve a lower photospeed and a lower LWR for line/space patterns.


SUMMARY

An aspect provides a polymer including a first repeating unit derived from a first monomer represented by formula (1); and a second repeating unit comprising an acid labile group, a hydroxyaryl group, a sulfonamide group, a fluoroalcohol group, or a combination thereof,




embedded image


wherein, in formula (1), P is a polymerizable group comprising an ethylenically unsaturated carbon-carbon double bond; L1 is a single bond or a linking group; Ar is a substituted or unsubstituted C6-30 aromatic group or a substituted or unsubstituted C4-30 heteroaromatic group; X is O or S; A is a group selected from —O—, —S—, —S(O)—, —S(O)2—, —C(O)—, —C(S)—, or —N(Ra)—; Ra is hydrogen or a non-hydrogen substituent; R1 and R2 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C3-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C2-30 heteroalkenyl, substituted or unsubstituted C2-30 heteroalkynyl, substituted or unsubstituted C1-C30 alkoxy, substituted or unsubstituted C1-C30 alkylthio, substituted or unsubstituted C3-C10 cycloalkenyl, substituted or unsubstituted C3-C10 cycloalkynyl, substituted or unsubstituted C3-C10 heterocycloalkenyl, substituted or unsubstituted C3-C10 heterocycloalkynyl, substituted or unsubstituted C6-50 aryl, substituted or unsubstituted C7-50 arylalkyl, substituted or unsubstituted C7-50 alkylaryl, substituted or unsubstituted C6-50 aryloxy, substituted or unsubstituted C4-30 heteroaryl, substituted or unsubstituted C5-30 alkylheteroaryl, substituted or unsubstituted C5-30 heteroarylalkyl, or substituted or unsubstituted C3-30 heteroaryloxy; R1 and R2 are optionally connected together to form a ring that is substituted or unsubstituted; and R1 and R2 each independently optionally further comprises one or more divalent linking groups as part of its structure, wherein the first repeating unit and the second repeating unit are structurally different.


Also provided is a photoresist composition including the polymer and a solvent.


Another aspect provides a method of forming a pattern including applying a layer of a photoresist composition on a substrate to form a photoresist composition layer; pattern-wise exposing the photoresist composition layer to activating radiation to form an exposed photoresist composition layer; and developing the exposed photoresist composition layer.


Still another aspect provides a method of forming a pattern including applying a layer of a photoresist composition on a substrate to form a photoresist composition layer; pattern-wise exposing the photoresist composition layer to activating radiation to form an exposed photoresist composition layer; and developing the exposed photoresist composition layer, wherein the photoresist composition comprises a polymer and a solvent, and wherein the polymer comprises a first repeating unit derived from a first monomer represented by formula (1):




embedded image


wherein formula (1) is as defined herein.







DETAILED DESCRIPTION

Reference will now be made in detail to exemplary embodiments, examples of which are illustrated in the present description. In this regard, the present exemplary embodiments may have different forms and should not be construed as being limited to the descriptions set forth herein. Accordingly, the exemplary embodiments are merely described below, by referring to the figures, to explain aspects of the present description. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. Expressions such as “at least one of,” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list.


As used herein, the terms “a,” “an,” and “the” do not denote a limitation of quantity and are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. “Or” means “and/or” unless clearly indicated otherwise. The modifier “about” used in connection with a quantity is inclusive of the stated value and has the meaning dictated by the context (e.g., includes the degree of error associated with measurement of the particular quantity). All ranges disclosed herein are inclusive of the endpoints, and the endpoints are independently combinable with each other. The suffix “(s)” is intended to include both the singular and the plural of the term that it modifies, thereby including at least one of that term. “Optional” or “optionally” means that the subsequently described event or circumstance can or cannot occur, and that the description includes instances where the event occurs and instances where it does not. The terms “first,” “second,” and the like, herein do not denote an order, quantity, or importance, but rather are used to distinguish one element from another. When an element is referred to as being “on” another element, it may be directly in contact with the other element or intervening elements may be present therebetween. In contrast, when an element is referred to as being “directly on” another element, there are no intervening elements present. It is to be understood that the described components, elements, limitations, and/or features of aspects may be combined in any suitable manner in the various aspects.


Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and the present disclosure, and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.


As used herein, “actinic rays” or “radiation” means, for example, a bright line spectrum of a mercury lamp, far ultraviolet rays represented by an excimer laser, extreme ultraviolet rays (EUV light), X-rays, particle rays such as electron beams and ion beams, or the like. In addition, in the present invention, “light” means actinic rays or radiation. The krypton fluoride laser (KrF laser) is a particular type of excimer laser, which is sometimes referred to as an exciplex laser. “Excimer” is short for “excited dimer,” while “exciplex” is short for “excited complex.” An excimer laser uses a mixture of a noble gas (argon, krypton, or xenon) and a halogen gas (fluorine or chlorine), which under suitable conditions of electrical stimulation and high pressure, emits coherent stimulated radiation (laser light) in the ultraviolet range. Furthermore, “exposure” in the present specification includes, unless otherwise specified, not only exposure by a mercury lamp, far ultraviolet rays represented by an excimer laser, X-rays, extreme ultraviolet rays (EUV light), or the like, but also writing by particle rays such as electron beams and ion beams.


A used herein, an “organic group” includes one or more carbon atoms, for example 1 to 60 carbon atoms. The term “hydrocarbon” refers to an organic compound or to an organic group having at least one carbon atom and at least one hydrogen atom. The term “alkyl” refers to a straight or branched chain saturated hydrocarbon group having the specified number of carbon atoms and having a valence of one; “alkylene” refers to an alkyl group having a valence of two; “hydroxyalkyl” refers to an alkyl group substituted with at least one hydroxyl group (—OH); “alkoxy” refers to “alkyl-O—”; “carboxyl” and “carboxylic acid group” refer to a group having the formula “—C(═O)—OH”; “cycloalkyl” refers to a monovalent group having one or more saturated rings in which all ring members are carbon; “cycloalkylene” refers to a cycloalkyl group having a valence of two; “alkenyl” refers to a straight or branched chain, monovalent hydrocarbon group having at least one carbon-carbon double bond; “alkenoxy” refers to “alkenyl-O—”; “alkenylene” refers to an alkenyl group having a valence of two; “cycloalkenyl” refers to a non-aromatic cyclic divalent hydrocarbon group having at least three carbon atoms, with at least one carbon-carbon double bond; “alkynyl” refers to a monovalent hydrocarbon group having at least one carbon-carbon triple bond; the term “aromatic group” refers to a monocyclic or polycyclic ring system that satisfies the Huckel Rule and includes carbon atoms in the ring, and optionally may include one or more heteroatoms selected from N, O, and S instead of a carbon atom in the ring; “aryl” refers to a monovalent aromatic monocyclic or polycyclic ring system where every ring member is carbon, and may include a group with an aromatic ring fused to at least one cycloalkyl or heterocycloalkyl ring; “arylene” refers to an aryl group having a valence of two; “alkylaryl” refers to an aryl group that has been substituted with an alkyl group; “arylalkyl” refers to an alkyl group that has been substituted with an aryl group; “aryloxy” refers to “aryl-O—”; and “arylthio” refers to “aryl-S—”.


The prefix “hetero” means that the compound or group includes at least one member that is a heteroatom (e.g., 1, 2, 3, or 4 or more heteroatom(s)) instead of a carbon atom, wherein the heteroatom(s) is each independently N, O, S, Si, or P; “heteroatom-containing group” refers to a substituent group that includes at least one heteroatom; “heteroalkyl group” refers to an alkyl group having 1-4 or more heteroatoms instead of carbon; “heterocycloalkyl group” refers to a cycloalkyl group having 1-4 or more heteroatoms as ring members instead of carbon; “heterocycloalkylene group” refers to a heterocycloalkyl group having a valence of two; “heteroaryl group” refers to an aryl group having 1-4 or more heteroatoms as ring members instead of carbon; and “heteroarylene group” refers to an heteroaryl group having a valence of two.


Each of the foregoing substituent groups optionally may be substituted unless expressly provided otherwise. For example, where the group is cited without specifying that it is substituted or unsubstituted, the group includes both a group having no substituent and a group having a substituent. The term “optionally substituted” refers to being substituted or unsubstituted.


“Substituted” means that at least one hydrogen atom of the chemical structure is replaced with another terminal substituent group that is typically monovalent, provided that the designated atom's normal valence is not exceeded. When the substituent is oxo (i.e., ═O), then two geminal hydrogen atoms on the carbon atom are replaced with the terminal oxo group. Combinations of substituents or variables are permissible. Exemplary substituent groups that may be present on a “substituted” position include, but are not limited to, nitro (—NO2), cyano (—CN), hydroxyl (—OH), oxo (═O), amino (—NH2), mono- or di-(C1-6)alkylamino, alkanoyl (such as a C2-6 alkanoyl group such as acyl), formyl (—C(═O)H), carboxylic acid or an alkali metal or ammonium salt thereof; esters (including acrylates, methacrylates, and lactones) such as C2-6 alkyl esters (—C(═O)O-alkyl or —OC(═O)-alkyl) and C7-13 aryl esters (—C(═O)O-aryl or —OC(═O)-aryl), amido (—C(═O)NR2 wherein R is hydrogen or C1-6 alkyl), carboxamido (—CH2C(═O)NR2 wherein R is hydrogen or C1-6 alkyl), halogen, thiol (—SH), C1-6 alkylthio (—S-alkyl), thiocyano (—SCN), C1-6 alkyl, C2-6 alkenyl, C2-6 alkynyl, C1-6 haloalkyl, C1-9 alkoxy, C1-6 haloalkoxy, C3-12 cycloalkyl, C5-18 cycloalkenyl, C2-18 heterocycloalkenyl, C6-12 aryl having at least one aromatic ring (e.g., phenyl, biphenyl, naphthyl, or the like, each ring either substituted or unsubstituted aromatic), C7-19 arylalkyl having 1 to 3 separate or fused rings and from 6 to 18 ring carbon atoms, arylalkoxy having 1 to 3 separate or fused rings and from 6 to 18 ring carbon atoms, C7-12 alkylaryl, C3-12 heterocycloalkyl, C3-12 heteroaryl, C1-6 alkyl sulfonyl (—S(═O)2-alkyl), C6-12 arylsulfonyl (—S(═O)2-aryl), or tosyl (CH3C6H4SO2—).


The term “halogen” means a monovalent substituent that is fluorine (fluoro), chlorine (chloro), bromine (bromo), or iodine (iodo). The prefix “halo” means a group including one or more of a fluoro, chloro, bromo, or iodo substituent instead of a hydrogen atom. A combination of halo groups (e.g., bromo and fluoro), or only fluoro groups may be present.


As used herein, an “acid-labile group” refers to a group in which a bond is cleaved by the action of an acid, optionally and typically with thermal treatment, resulting in formation of a polar group, such as a carboxylic acid or alcohol group, being formed on the polymer, and optionally and typically with a moiety connected to the cleaved bond becoming disconnected from the polymer. In other systems, a non-polymeric compound may include an acid-labile group that may be cleaved by the action of an acid, resulting in formation of a polar group, such as a carboxylic acid or alcohol group on a cleaved portion of the non-polymeric compound. Such acid is typically a photo-generated acid with bond cleavage occurring during post-exposure baking (PEB); however, embodiments are not limited thereto, and, for example, such acid may be thermally generated. Acid-labile groups are also commonly referred to in the art as “acid-cleavable groups,” “acid-cleavable protecting groups,” “acid-labile protecting groups,” “acid-leaving groups,” “acid-decomposable groups,” and “acid-sensitive groups.”


As used herein, when a definition is not otherwise provided, a “divalent linking group” refers to a divalent group including one or more of —O—, —S—, —Te—, —Se—, —C(O)—, —N(Rb)—, —S(O)—, —S(O)2—, —C(S)—, —C(Te)—, —C(Se)—, substituted or unsubstituted C1-30 alkylene, substituted or unsubstituted C3-30 cycloalkylene, substituted or unsubstituted C3-30 heterocycloalkylene, substituted or unsubstituted C6-30 arylene, substituted or unsubstituted C3-30 heteroarylene, or a combination thereof, wherein Rb is hydrogen, substituted or unsubstituted C1-20 alkyl, substituted or unsubstituted C1-20 heteroalkyl, substituted or unsubstituted C6-30 aryl, or substituted or unsubstituted C3-30 heteroaryl. Typically, the divalent linking group includes one or more of —O—, —S—, —C(O)—, —N(Rb)—, —S(O)—, —S(O)2—, substituted or unsubstituted C1-30 alkylene, substituted or unsubstituted C3-30 cycloalkylene, substituted or unsubstituted C3-30 heterocycloalkylene, substituted or unsubstituted C6-30 arylene, substituted or unsubstituted C3-30 heteroarylene, or a combination thereof, wherein Rb is hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C2-30 heteroaryl, substituted or unsubstituted C3-30 heteroarylalkyl, or substituted or unsubstituted C3-30 alkylheteroaryl. More typically, the divalent linking group includes at least one of —O—, —C(O)—, —C(O)O—, —N(Rb)—, —C(O)N(Rb)—, substituted or unsubstituted C1-10 alkylene, substituted or unsubstituted C3-10 cycloalkylene, substituted or unsubstituted C3-10 heterocycloalkylene, substituted or unsubstituted C6-10 arylene, substituted or unsubstituted C3-10 heteroarylene, or a combination thereof, wherein Rb is hydrogen, substituted or unsubstituted C1-10 alkyl, substituted or unsubstituted C1-10 heteroalkyl, substituted or unsubstituted C6-10 aryl, or substituted or unsubstituted C3-10 heteroaryl.


The present disclosure relates to a polymer derived from a 1,4-benzodioxine monomer, and optionally includes a second repeating unit including an acid-labile group, a hydroxyaryl group, a sulfonamide group, a fluoroalcohol group, or a combination thereof, and/or optional repeating units derived from other monomers. The polymer may be particularly useful in photoresist compositions to increase pattern density, for example by lowering photospeed and by decreasing line width roughness (LWR).


The polymer includes a first repeating unit derived from a first monomer represented by formula (1):




embedded image


In formula (1), P is a polymerizable group comprising an ethylenically unsaturated carbon-carbon double bond. Typically, P may be selected from a substituted or unsubstituted C2-20 alkenyl group, a substituted or unsubstituted norbornyl group, a substituted or unsubstituted (meth)acrylic group, a substituted or unsubstituted vinyl ether group, a substituted or unsubstituted vinyl ketone group, a substituted or unsubstituted vinyl ester group, or a substituted or unsubstituted vinyl aromatic group. Preferably, the polymerizable group is substituted or unsubstituted C2-20 alkenyl, substituted or unsubstituted norbornyl, substituted or unsubstituted (meth)acrylic, or substituted or unsubstituted vinyl aromatic.


In formula (1), L1 is a single bond or a linking group. Typically, the linking group may include one or more of —O—, —S—, —C(O)—, —C(O)O—, —N(Rb)—, —C(O)N(Rb)—, —S(O)—, —S(O)2—, substituted or unsubstituted C1-30 alkylene, substituted or unsubstituted C3-30 cycloalkylene, substituted or unsubstituted C3-30 heterocycloalkylene, substituted or unsubstituted C6-30 arylene, substituted or unsubstituted C3-30 heteroarylene, or a combination thereof, wherein Rb may be hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C2-30 heteroaryl, substituted or unsubstituted C3-30 heteroarylalkyl, or substituted or unsubstituted C3-30 alkylheteroaryl. Preferably, the linking group includes at least one of —O—, —C(O)—, —C(O)O—, —N(Rb)—, —C(O)N(Rb)—, substituted or unsubstituted C1-10 alkylene, substituted or unsubstituted C3-10 cycloalkylene, substituted or unsubstituted C3-10 heterocycloalkylene, substituted or unsubstituted C6-10 arylene, substituted or unsubstituted C3-10 heteroarylene, or a combination thereof, wherein Rb may be hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C2-30 heteroaryl, substituted or unsubstituted C3-30 heteroarylalkyl, or substituted or unsubstituted C3-30 alkylheteroaryl.


In formula (1), Ar is a C6-30 aromatic group or a C4-30 heteroaromatic group, which is substituted or unsubstituted. The C6-30 aromatic group and/or the C4-30 heteroaromatic group may be monocyclic, non-fused polycyclic, or fused polycyclic. When the C6-30 aromatic group and/or the C4-30 heteroaromatic group is polycyclic, the ring or ring groups may be fused (such as naphthyl or the like), non-fused, or a combination thereof. When the polycyclic C6-30 aromatic group and/or the C4-30 heteroaromatic group is non-fused, the ring or ring groups may be directly linked (such as biaryls, biphenyl, or the like) or may be bridged by a heteroatom (such as triphenylamino or diphenylene ether). In some aspects, the polycyclic C6-30 aromatic group and/or the C4-30 heteroaromatic group may include a combination of fused rings and directly linked rings (such as binaphthyl or the like). The C6-30 aromatic group and/or the C4-30 heteroaromatic group may optionally be substituted with one or more of substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C2-30 heteroaryl, substituted or unsubstituted C3-30 heteroarylalkyl, substituted or unsubstituted C3-30 alkylheteroaryl, —ORc, —or —NRcRe, wherein Rc and Re are each independently substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C4-30 heteroaryl, substituted or unsubstituted C5-30 heteroarylalkyl, or substituted or unsubstituted C5-30 alkylheteroaryl.


In formula (1), X is O or S. Preferably, X is O.


In formula (1), A is a group selected from —O—, —S—, —S(O)—, —S(O)2—, —C(O)—, —C(S)—, or —N(Ra)—, wherein R a is hydrogen or a non-hydrogen substituent. Typically, A may be —O—, —S—, or —N(Ra)—, wherein R a is hydrogen or a non-hydrogen substituent. For example, R a may be hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C2-30 heteroaryl, substituted or unsubstituted C3-30 heteroarylalkyl, or substituted or unsubstituted C3-30 alkylheteroaryl.


In formula (1), R1 and R2 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C3-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C2-30 heteroalkenyl, substituted or unsubstituted C2-30 heteroalkynyl, substituted or unsubstituted C1-C30 alkoxy, substituted or unsubstituted C1-C30 alkylthio, substituted or unsubstituted C3-C10 cycloalkenyl, substituted or unsubstituted C3-C10 cycloalkynyl, substituted or unsubstituted C3-C10 heterocycloalkenyl, substituted or unsubstituted C3-C10 heterocycloalkynyl, substituted or unsubstituted C6-50 aryl, substituted or unsubstituted C7-50 arylalkyl, substituted or unsubstituted C7-50 alkylaryl, substituted or unsubstituted C6-50 aryloxy, substituted or unsubstituted C4-30 heteroaryl, substituted or unsubstituted C5-30 alkylheteroaryl, substituted or unsubstituted C5-30 heteroarylalkyl, or substituted or unsubstituted C3-30 heteroaryloxy. Typically, R1 and R2 may each independently be hydrogen, substituted or unsubstituted C1-10 alkyl, substituted or unsubstituted C3-10 cycloalkyl, substituted or unsubstituted C3-10 heterocycloalkyl, substituted or unsubstituted C1-C10 alkoxy, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C6-30 aryloxy, substituted or unsubstituted C4-30 heteroaryl, substituted or unsubstituted C5-30 alkylheteroaryl, substituted or unsubstituted C5-30 heteroarylalkyl, or substituted or unsubstituted C3-30 heteroaryloxy.


In formula (1), R1 and R2 are optionally connected together to form a ring that is substituted or unsubstituted. For example, R1 and R2 may be connected via a single bond or a divalent linking group. When R1 and R2 are connected to form a ring, the ring may be substituted or unsubstituted. Exemplary substituent groups include one or more of substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C2-30 heteroaryl, substituted or unsubstituted C3-30 heteroarylalkyl, substituted or unsubstituted C3-30 alkylheteroaryl, —ORc, —or —NRcRe, wherein Re and Re are each independently substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C4-30 heteroaryl, substituted or unsubstituted C5-30 heteroarylalkyl, or substituted or unsubstituted C5-30 alkylheteroaryl.


R1 and R2 each independently optionally further includes one or more divalent linking groups as part of its structure. Exemplary divalent linking groups may be selected from —O—, —C(O)—, —C(O)O—, —S—, —S(O)2—, —N(Rb)—, —C(O)N(Rb)—, substituted or unsubstituted C1-30 alkylene, substituted or unsubstituted C3-30 cycloalkylene, substituted or unsubstituted C3-30 heterocycloalkylene, substituted or unsubstituted C6-30 arylene, substituted or unsubstituted C3-30 heteroarylene, or a combination thereof, wherein Rb may be hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C2-30 heteroaryl, substituted or unsubstituted C3-30 heteroarylalkyl, or substituted or unsubstituted C3-30 alkylheteroaryl.


In some aspects, the first monomer may be represented by formula (1a):




embedded image


In formula (1a), P is a polymerizable group comprising an ethylenically unsaturated carbon-carbon double bond, as defined in formula (1). Preferably, the polymerizable group is substituted or unsubstituted C2-20 alkenyl, substituted or unsubstituted norbornyl, substituted or unsubstituted (meth)acrylic, or substituted or unsubstituted vinyl aromatic.


In formula (1a), L1 is a single bond or a linking group, as defined in formula (1). Preferably, the linking group includes at least one of —O—, —C(O)—, —C(O)O—, —N(Rb)—, —C(O)N(Rb)—, substituted or unsubstituted C1-10 alkylene, substituted or unsubstituted C3-10 cycloalkylene, substituted or unsubstituted C3-10 heterocycloalkylene, substituted or unsubstituted C6-10 arylene, substituted or unsubstituted C3-10 heteroarylene, or a combination thereof, wherein Rb may be hydrogen, substituted or unsubstituted C1-10 alkyl, substituted or unsubstituted C1-10 heteroalkyl, substituted or unsubstituted C6-10 aryl, or substituted or unsubstituted C3-10 heteroaryl.


In formula (1a), Ar is a C6-30 aromatic group or a C4-30 heteroaromatic group. Typically, Ar may be a C6-10 aryl group or a C4-10 heteroaryl group. Preferably, Ar may be a phenyl (benzene) group.


In formula (1a), A is a group selected from O, S, SO, SO2, or N—Ra, wherein Ra is hydrogen or a non-hydrogen substituent, as defined in formula (1). Typically, A may be O, S, or N—Ra, wherein Ra is hydrogen or a non-hydrogen substituent, as defined in formula (1). Preferably, A may be O.


In formula (1a), R1 and R2 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C3-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C2-30 heteroalkenyl, substituted or unsubstituted C2-30 heteroalkynyl, substituted or unsubstituted C1-C30 alkoxy, substituted or unsubstituted C1-C30 alkylthio, substituted or unsubstituted C3-C10 cycloalkenyl, substituted or unsubstituted C3-C10 cycloalkynyl, substituted or unsubstituted C3-C10 heterocycloalkenyl, substituted or unsubstituted C3-C10 heterocycloalkynyl, substituted or unsubstituted C6-50 aryl, substituted or unsubstituted C7-50 arylalkyl, substituted or unsubstituted C7-50 alkylaryl, substituted or unsubstituted C6-50 aryloxy, substituted or unsubstituted C4-30 heteroaryl, substituted or unsubstituted C5-30 alkylheteroaryl, substituted or unsubstituted C5-30 heteroarylalkyl, or substituted or unsubstituted C3-30 heteroaryloxy. Preferably, R1 and R2 are each independently a non-hydrogen substituent.


In formula (1a), R1 and R2 are optionally connected together to form a ring that is substituted or unsubstituted, as defined in formula (1). For example, R1 and R2 may be connected via a single bond or a divalent linking group, as defined in formula (1).


In formula (1a), R1 and R2 each independently optionally further includes one or more divalent linking groups as part of its structure, as defined in formula (1).


In formula (1a), each R3 is independently hydroxy, cyano, halogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C3-30 heterocycloalkyl, substituted or unsubstituted C1-C30 alkoxy, substituted or unsubstituted C1-C30 alkylthio, substituted or unsubstituted C6-50 aryl, substituted or unsubstituted C7-50 arylalkyl, substituted or unsubstituted C7-50 alkylaryl, substituted or unsubstituted C6-50 aryloxy, substituted or unsubstituted C4-30 heteroaryl, substituted or unsubstituted C5-30 alkylheteroaryl, substituted or unsubstituted C5-30 heteroarylalkyl, or substituted or unsubstituted C3-30 heteroaryloxy. Typically, each R3 is independently hydroxy, cyano, halogen, substituted or unsubstituted C1-10 alkyl, substituted or unsubstituted C3-10 cycloalkyl, substituted or unsubstituted C3-10 heterocycloalkyl, substituted or unsubstituted C1-C10 alkoxy, substituted or unsubstituted C6-20 aryl, substituted or unsubstituted C7-20 arylalkyl, substituted or unsubstituted C7-20 alkylaryl, substituted or unsubstituted C6-20 aryloxy, substituted or unsubstituted C4-20 heteroaryl, substituted or unsubstituted C5-20 alkylheteroaryl, substituted or unsubstituted C5-20 heteroarylalkyl, or substituted or unsubstituted C3-20 heteroaryloxy.


In formula (1a), n1 may be an integer from 0 to 8. Typically, n1 may be an integer from 0 to 5, and preferably n1 may be an integer from 0 to 3. In still other embodiments, n1 may be an integer from 0 to 2, or an integer from 0 to 1.


In some aspects, the first monomer may be represented by formula (2):




embedded image


In formula (2), P is a polymerizable group comprising an ethylenically unsaturated carbon-carbon double bond, as defined in formula (1). Preferably, the polymerizable group is substituted or unsubstituted C2-20 alkenyl, substituted or unsubstituted norbornyl, substituted or unsubstituted (meth)acrylic, or substituted or unsubstituted vinyl aromatic.


In formula (2), L2 is a single bond or a linking group, as defined in formula (1). Preferably, the linking group includes at least one of —O—, —C(O)—, —C(O)O—, —N(Rb)—, —C(O)N(Rb)—, substituted or unsubstituted C1-10 alkylene, substituted or unsubstituted C3-10 cycloalkylene, substituted or unsubstituted C3-10 heterocycloalkylene, substituted or unsubstituted C6-10 arylene, substituted or unsubstituted C3-10 heteroarylene, or a combination thereof, wherein Rb is hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C2-30 heteroaryl, substituted or unsubstituted C3-30 heteroarylalkyl, or substituted or unsubstituted C3-30 alkylheteroaryl.


In formula (2), R4 and R5 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C3-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C2-30 heteroalkenyl, substituted or unsubstituted C2-30 heteroalkynyl, substituted or unsubstituted C1-C30 alkoxy, substituted or unsubstituted C1-C30 alkylthio, substituted or unsubstituted C3-C10 cycloalkenyl, substituted or unsubstituted C3-C10 cycloalkynyl, substituted or unsubstituted C3-C10 heterocycloalkenyl, substituted or unsubstituted C3-C10 heterocycloalkynyl, substituted or unsubstituted C6-50 aryl, substituted or unsubstituted C7-50 arylalkyl, substituted or unsubstituted C7-50 alkylaryl, substituted or unsubstituted C6-50 aryloxy, substituted or unsubstituted C4-30 heteroaryl, substituted or unsubstituted C5-30 alkylheteroaryl, substituted or unsubstituted C5-30 heteroarylalkyl, or substituted or unsubstituted C3-30 heteroaryloxy. Preferably, R4 and R5 are each independently a non-hydrogen substituent.


In formula (2), each R6 is independently hydroxy, cyano, halogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C3-30 heterocycloalkyl, substituted or unsubstituted C1-C30 alkoxy, substituted or unsubstituted C1-C30 alkylthio, substituted or unsubstituted C6-50 aryl, substituted or unsubstituted C7-50 arylalkyl, substituted or unsubstituted C7-50 alkylaryl, substituted or unsubstituted C6-50 aryloxy, substituted or unsubstituted C4-30 heteroaryl, substituted or unsubstituted C5-30 alkylheteroaryl, substituted or unsubstituted C5-30 heteroarylalkyl, or substituted or unsubstituted C3-30 heteroaryloxy.


In formula (2), n2 may be an integer from 0 to 3. Typically, n2 may be an integer from 0 to 2, or an integer from 0 to 1.


In some aspects, the first monomer may include a (meth)acrylic group or a vinyl aromatic group. In other words, in some aspects, the polymerizable group P may be a (meth)acrylic group or a vinyl aromatic group.


Non-limiting examples of the first monomer may include the following:




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The first repeating unit of the polymer is typically present in an amount from 5 to 50 mol %, more typically from 5 to 35 mol %, and still more typically from 10 to 25 mol %, based on total repeating units of the polymer.


The polymer may include a second repeating unit comprising an acid labile group, a hydroxyaryl group, a sulfonamide group, a fluoroalcohol group, or a combination thereof. For example, the polymer may include a second repeating unit comprising an acid labile group, a hydroxyaryl group, a sulfonamide group, or a fluoroalcohol group. In some aspects, the second repeating unit comprises an acid labile group.


Suitable acid labile groups include, for example: tertiary alkyl ester groups, secondary or tertiary aryl ester groups, secondary or tertiary ester groups having a combination of alkyl and aryl groups, tertiary alkoxy groups, acetal groups, ketal groups, tertiary carbonate groups, and tertiary carbamate groups. Typically, the acid labile group may be an acetal group, a ketal group, a tertiary carbonate group, a tertiary carbamate group, or a tertiary ester group. As used herein, the “tertiary carbamate group” includes tertiary carbamate ester groups having alkyl groups, tertiary carbamate ester groups having aryl groups, and tertiary carbamate ester groups having a combination of alkyl and aryl groups. As used herein, the “tertiary carbonate group” includes tertiary carbonate ester groups having alkyl groups, tertiary carbonate ester groups having aryl groups, and tertiary carbonate ester groups having a combination of alkyl and aryl groups. Preferably, the acid liable group of the second repeating unit includes a tertiary ester group.


The second repeating unit of the polymer may include an acid labile group that is derived from one or more monomers of formulas (3a), (3b), (3c), (3d), or (3e):




embedded image


In formulas (3a) to (3e), each Rd is independently hydrogen, fluorine, cyano, substituted or unsubstituted C1-10 alkyl, or substituted or unsubstituted C1-10 fluoroalkyl. Preferably, Rd is hydrogen, fluorine, or substituted or unsubstituted C1-5 alkyl, typically methyl.


In formula (3a), L3 is a divalent linking group. For example, L3 may be a divalent linking group including at least one carbon atom, at least one heteroatom, or a combination thereof. For example, L3 may include 1 to 10 carbon atoms and at least one heteroatom. In one or more embodiments, L3 may be —OCH2—, —OCH2CH2O— or —N(Rb)—, wherein Rb is hydrogen, substituted or unsubstituted C1-10 alkyl, substituted or unsubstituted C1-10 heteroalkyl, substituted or unsubstituted C6-10 aryl, or substituted or unsubstituted C3-10 heteroaryl.


In formulas (3a), (3b), and (3d), R7 to R9 are each independently hydrogen, substituted or unsubstituted C1-20 alkyl, substituted or unsubstituted C3-20 cycloalkyl, substituted or unsubstituted C1-20 heterocycloalkyl, substituted or unsubstituted C2-20 alkenyl, substituted or unsubstituted C3-20 cycloalkenyl, substituted or unsubstituted C3-20 heterocycloalkenyl, substituted or unsubstituted C6-20 aryl, or substituted or unsubstituted C2-20 heteroaryl; provided that only one of R7 to R9 can be hydrogen, and provided that when one of R7 to R9 is hydrogen, one or both of the others of R7 to R9 are substituted or unsubstituted C6-20 aryl or substituted or unsubstituted C4-20 heteroaryl. Preferably, R7 to R9 are each independently substituted or unsubstituted C1-6 alkyl or substituted or unsubstituted C3-10 cycloalkyl.


In formula (3a), any two of R7 to R9 together optionally form a ring, and each of R7 to R9 optionally may include as part of their structure one or more groups chosen from —O—, —C(O)—, —N(Rg)—, —S—, or —S(O)2—, wherein Rg may be hydrogen, a straight chain or branched C1-20 alkyl, monocyclic or polycyclic C3-20 cycloalkyl, or monocyclic or polycyclic C1-20 heterocycloalkyl. For example, any one or more of R7 to R9 may be independently a group of the formula —CH2C(═O)CH(3-n)Yn, where each Y is independently substituted or unsubstituted C1-30 heterocycloalkyl, and n is 1 or 2. For example, each Y may be independently substituted or unsubstituted C1-30 heterocycloalkyl including a group of the formula —O(Ca1)(Ca2)O—, wherein Ca1 and Ca2 are each independently hydrogen or substituted or unsubstituted C1-10 alkyl, and where Ca1 and Ca2 together optionally form a ring.


In formulas (3c) and (3e), R10 and R11 may be each independently hydrogen, substituted or unsubstituted C1-20 alkyl, substituted or unsubstituted C3-20 cycloalkyl, substituted or unsubstituted C1-20 heterocycloalkyl, substituted or unsubstituted C6-20 aryl, or substituted or unsubstituted C2-20 heteroaryl; and R12 may be substituted or unsubstituted C1-20 alkyl, substituted or unsubstituted C3-20 cycloalkyl, or substituted or unsubstituted C1-30 heterocycloalkyl. Optionally, one of R10 or R11 together with R12 may form a heterocyclic ring. Preferably, R10 and R11 may be each independently hydrogen, substituted or unsubstituted C1-20 alkyl, substituted or unsubstituted C3-20 cycloalkyl, or substituted or unsubstituted C1-20 heterocycloalkyl.


In formulas (3d) and (3e), Xa is a polymerizable group selected from vinyl and norbornyl; and L4 and L5 are each independently a single bond or a divalent linking group, provided that L4 and L5 may not be a single bond when Xa is vinyl. Preferably, L4 and L5 are each independently substituted or unsubstituted C6-30 arylene or substituted or unsubstituted C3-30 cycloalkylene. In formulas (3d) and (3e), each of n3 and n4 independently may be 0 or 1. It is to be understood that when n3 or n4 is 0, the corresponding L4 or L5 group is connected directly to the respective oxygen atom.


Non-limiting examples of monomers for providing the second repeating unit including an acid labile group include the following:




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


wherein Rd is hydrogen, halogen, substituted or unsubstituted C1-6 alkyl, or substituted or unsubstituted C3-6 cycloalkyl.


As noted above, the second repeating unit may include a hydroxyaryl group, a sulfonamide group, a fluoroalcohol group, or a combination thereof. For example, the second repeating unit may include a repeating unit of formulas (4), (5), or a combination thereof:




embedded image


In formulas (4) and (5), each Rd may be hydrogen, fluorine, cyano, or substituted or unsubstituted C1-10 alkyl. Preferably, Rd may be hydrogen, fluorine, or substituted or unsubstituted C1-5 alkyl, typically hydrogen or methyl.


In formulas (4) and (5), L6 and L7 may each independently be a single bond or one or more divalent linking groups. For example, L6 and L7 may each independently be —O—, —C(O)—, —C(O)O—, —N(Rb)—, —C(O)N(Rb)—, substituted or unsubstituted C1-10 alkylene, substituted or unsubstituted C3-10 cycloalkylene, substituted or unsubstituted C3-10 heterocycloalkylene, substituted or unsubstituted C6-10 arylene, substituted or unsubstituted C3-10 heteroarylene, or a combination thereof, wherein Rb is hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C2-30 heteroaryl, substituted or unsubstituted C3-30 heteroarylalkyl, or substituted or unsubstituted C3-30 alkylheteroaryl. In some aspects, L6 and L7 may each independently be a single bond or one or more groups selected from —C(O)O—, substituted or unsubstituted C1-10 alkylene, substituted or unsubstituted C3-10 cycloalkylene, substituted or unsubstituted C3-10 heterocycloalkylene, substituted or unsubstituted C6-10 arylene, substituted or unsubstituted C3-10 heteroarylene, or a combination thereof.


In formula (4), R15 may be substituted or unsubstituted C1-100 or C1-20 alkyl, typically C1-12 alkyl; substituted or unsubstituted C3-30 or C3-20 cycloalkyl; or substituted or unsubstituted poly(C1-3 alkylene oxide). The substituted C1-100 or C1-20 alkyl, the substituted C3-30 or C3-20 cycloalkyl, and the substituted poly(C1-3 alkylene oxide) are substituted with one or more of a sulfonamide group (e.g., —NHSO2CF3) or a fluoroalcohol group (e.g., —C(CF3)2OH).


In formula (5), Ar2 may be a substituted C5-60 aromatic group that optionally includes one or more aromatic ring heteroatoms chosen from N, O, S, or a combination thereof, wherein the aromatic group may be monocyclic, non-fused polycyclic, or fused polycyclic. When the C5-60 aromatic group is polycyclic, the ring or ring groups may be fused (such as naphthyl or the like), non-fused, or a combination thereof. When the polycyclic C5-60 aromatic group is non-fused, the ring or ring groups may be directly linked (such as biaryls, biphenyl, or the like) or may be bridged by a heteroatom (such as triphenylamino or diphenylene ether). In some aspects, the polycyclic C5-60 aromatic group may include a combination of fused rings and directly linked rings (such as binaphthyl or the like).


In formula (5), y may be an integer from 1 to 12, preferably from 1 to 6, and typically from 1 to 3. Each Rx may independently be hydrogen or methyl, provided that at least one Rx is hydrogen.


Non-limiting examples of the second repeating unit of the polymer may include:




embedded image


embedded image


embedded image


wherein Rd may be hydrogen, fluorine, cyano, or substituted or unsubstituted C1-10 alkyl. Preferably, Rd may be hydrogen, fluorine, or substituted or unsubstituted C1-5 alkyl, typically methyl.


When present, the second repeating unit of the polymer is typically present in an amount from 20 to 80 mole percent (mol %), more typically from 25 to 75 mol %, and still more typically from 30 to 70 mol %, based on total repeating units of the polymer.


When the polymer includes both the first repeating unit and the second repeating unit, the first repeating unit and the second repeating unit are structurally different.


In an embodiment, the polymer may further include a third repeating unit, wherein the third repeating unit is different from the first repeating unit and the second repeating unit.


In one or more aspects, the third repeating unit may include a hydroxyaryl group, a sulfonamide group, a fluoroalcohol group, or a combination thereof.


When present, the polymer typically includes the third repeating unit in an amount from 10 to 60 mol %, typically from 10 to 50 mol %, more typically from 10 to 40 mol %, based on total repeating units of the polymer.


In some aspects, the polymer may further optionally include one or more additional repeating units. The additional repeating units may be, for example, one or more additional units for purposes of adjusting properties of the photoresist composition. Exemplary additional units may include those derived from one or more of (meth)acrylate, vinyl aromatic, vinyl ether, vinyl ketone, and/or vinyl ester monomers. The one or more additional repeating units, if present in the polymer, may be used in an amount of up to 90 mol %, typically from 3 to 50 mol %, based on total repeating units of the polymer.


Non-limiting exemplary polymers of the present invention may include the following:




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


wherein a, b, and c, each represent the mol % of the repeating unit based on 100 mol % of total repeating units in the polymer.


The polymer typically has a weight average molecular weight (Mw) from 1,000 to 50,000 Dalton (Da), preferably from 2,000 to 30,000 Da, more preferably 2,500 to 20,000 Da, and still more preferably from 3,500 to 15,000 Da. The PDI of the polymer is typically from 1.1 to 3, and more typically from 1.1 to 2. Molecular weights are determined by gel permeation chromatography (GPC) using polystyrene standards.


The polymer may be prepared using any suitable method(s) in the art. For example, one or more monomers corresponding to the repeating units described herein may be combined, or fed separately, using suitable solvent(s) and initiator, and polymerized in a reactor. For example, the polymer may be obtained by polymerization of the respective monomers under any suitable conditions, such as by heating at an effective temperature, irradiation with actinic radiation at an effective wavelength, or a combination thereof.


Also provided is a photoresist composition including the polymer as described herein and a solvent.


The photoresist composition includes a solvent for dissolving the components of the composition and facilitating its coating on a substrate. Preferably, the solvent is an organic solvent conventionally used in the manufacture of electronic devices. Suitable solvents include, for example: aliphatic hydrocarbons such as hexane and heptane; aromatic hydrocarbons such as toluene and xylene; halogenated hydrocarbons such as dichloromethane, 1,2-dichloroethane and 1-chlorohexane; alcohols such as methanol, ethanol, 1-propanol, iso-propanol, tert-butanol, 2-methyl-2-butanol and 4-methyl-2-pentanol; propylene glycol monomethyl ether (PGME), ethers such as diethyl ether, tetrahydrofuran, 1,4-dioxane and anisole; ketones such as acetone, methyl ethyl ketone, methyl iso-butyl ketone, 2-heptanone and cyclohexanone (CHO); esters such as ethyl acetate, n-butyl acetate, propylene glycol monomethyl ether acetate (PGMEA), ethyl lactate (EL), hydroxyisobutyrate methyl ester (HBM) and ethyl acetoacetate; lactones such as gamma-butyrolactone (GBL) and epsilon-caprolactone; lactams such as N-methyl pyrrolidone; nitriles such as acetonitrile and propionitrile; cyclic or non-cyclic carbonate esters such as propylene carbonate, dimethyl carbonate, ethylene carbonate, propylene carbonate, diphenyl carbonate, and propylene carbonate; polar aprotic solvents such as dimethyl sulfoxide and dimethyl formamide; water; and combinations thereof. Of these, preferred solvents are PGME, PGMEA, EL, GBL, HBM, CHO, and combinations thereof. The total solvent content (i.e., cumulative solvent content for all solvents) in the photoresist composition is typically from 40 to 99 wt %, more typically from 70 to 99 wt %, and still more typically from 85 to 99 wt %, based on total weight of the photoresist composition. The desired solvent content will depend, for example, on the desired thickness of the coated photoresist layer and coating conditions.


In the photoresist compositions, the polymer is typically present in the photoresist composition in an amount from 10 to 99.9 wt %, typically from 25 to 99 wt %, and more typically from 50 to 95 wt %, based on total solids of the photoresist composition. It will be understood that total solids includes the polymer, PAGs, and other non-solvent components.


The photoresist composition may further include a photoacid generator (PAG). The PAG may be in ionic or non-ionic form. The PAG may be in polymeric or non-polymeric form. In polymeric form, the PAG may be present as a moiety in a repeating unit of a polymer that is derived from a polymerizable PAG monomer.


Suitable PAG compounds maybe of the formula G+A, wherein G+ is a photoactive cation and A is an anion that can generate a photoacid. The photoactive cation is preferably chosen from onium cations, preferably iodonium or sulfonium cations. Particularly suitable anions include those whose conjugated acids have a pKa of from −15 to 10. The anion is typically an organic anion having a sulfonate group or a non-sulfonate-type group, such as sulfonamidate, sulfonimidate, methide, or borate.


In some embodiments, the photoactive cation may be a sulfonium cation or an iodonium cation. For example, the photoactive cation may be a sulfonium cation of formula (7a) or an iodonium cation of formula (7b):




embedded image


In formulas (7a) and (7b), R16 to R20 are each independently substituted or unsubstituted C1-20 alkyl, substituted or unsubstituted C3-20 cycloalkyl, substituted or unsubstituted C2-20 alkenyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C6-30 iodoaryl, substituted or unsubstituted C3-30 heteroaryl, substituted or unsubstituted C7-20 arylalkyl, or substituted or unsubstituted C4-20 heteroarylalkyl, or combinations thereof. Each of R16 to R18 may be either separate or connected to another group of R16 to R18 via a single bond or a divalent linking group to form a ring. R19 and R20 may be either separate or connected to each other via a single bond or a divalent linking group to form a ring. Each of R16 to R20 optionally may include as part of its structure a divalent linking group. Each of R16 to R20 independently may optionally comprise an acid-labile group chosen, for example, from tertiary alkyl ester groups, secondary or tertiary aryl ester groups, secondary or tertiary ester groups having a combination of alkyl and aryl groups, tertiary alkoxy groups, acetal groups, or ketal groups.


Exemplary sulfonium cations of Formula (7a) include one or more of the following:




embedded image


embedded image


embedded image


embedded image


embedded image


Exemplary iodonium cations of Formula (7b) may include one or more of the following:




embedded image


embedded image


Exemplary organic anions having a sulfonate group include one or more of the following:




embedded image


embedded image


embedded image


Exemplary non-sulfonated anions include one or more of the following:




embedded image


embedded image


Commonly used onium salts may include, for example, triphenylsulfonium trifluoromethanesulfonate, (p-tert-butoxyphenyl)diphenylsulfonium trifluoromethanesulfonate, tris(p-tert-butoxyphenyl)sulfonium trifluoromethanesulfonate, triphenylsulfonium p-toluenesulfonate; di-t-butyphenyliodonium perfluorobutanesulfonate, and di-t-butyphenyliodonium camphorsulfonate. Other useful PAG compounds are known in the art of chemically amplified photoresists and include, for example: non-ionic sulfonyl compounds, for example, 2-nitrobenzyl-p-toluenesulfonate, 2,6-dinitrobenzyl-p-toluenesulfonate, and 2,4-dinitrobenzyl-p-toluenesulfonate; sulfonic acid esters, for example, 1,2,3-tris(methanesulfonyloxy)benzene, 1,2,3-tris(trifluoromethanesulfonyloxy)benzene, and 1,2,3-tris(p-toluenesulfonyloxy)benzene; diazomethane derivatives, for example, bis(benzenesulfonyl)diazomethane, bis(p-toluenesulfonyl)diazomethane; glyoxime derivatives, for example, bis-O-(p-toluenesulfonyl)-α-dimethylglyoxime, and bis-O-(n-butanesulfonyl)-α-dimethylglyoxime; sulfonic acid ester derivatives of an N-hydroxyimide compound, for example, N-hydroxysuccinimide methanesulfonic acid ester, N-hydroxysuccinimide trifluoromethanesulfonic acid ester; and halogen-containing triazine compounds, for example, 2-(4-methoxyphenyl)-4,6-bis(trichloromethyl)-1,3,5-triazine, and 2-(4-methoxynaphthyl)-4,6-bis(trichloromethyl)-1,3,5-triazine. Suitable photoacid generators are further described in U.S. Pat. Nos. 8,431,325 and 4,189,323.


In some aspect, the polymer optionally may further comprise a repeating unit comprising a PAG moiety, for example a repeating unit derived from one or more monomers of formula (8):




embedded image


In formula (8), Rm may be hydrogen, fluorine, cyano, or substituted or unsubstituted C1-10 alkyl. Preferably, Rm is hydrogen, fluorine, or substituted or unsubstituted C1-5 alkyl, typically methyl. Q1 may be a single bond or a divalent linking group. Preferably, Q1 may include 1 to 10 carbon atoms and at least one heteroatom, more preferably —C(O)—O—.


In formula (8), A1 may be one or more of substituted or unsubstituted C1-30 alkylene, substituted or unsubstituted C3-30 cycloalkylene, substituted or unsubstituted C2-30 heterocycloalkylene, substituted or unsubstituted C6-30 arylene, or substituted or unsubstituted C3-30 heteroarylene. Preferably, A1 may be a divalent C1-30 perfluoroalkylene group that is optionally substituted.


In formula (8), Z is an anionic moiety, the conjugated acid of which typically has a pKa from −15 to 1. Z may be a sulfonate, a carboxylate, an anion of a sulfonamide, an anion of a sulfonimide, or a methide anion. Particularly preferred anion moieties are fluorinated alkyl sulfonates and fluorinated sulfonimides.


In formula (8), G+ is an organic cation as defined above. In some embodiments, G+ is an iodonium cation substituted with two alkyl groups, two aryl groups, or a combination of alkyl and aryl groups; or a sulfonium cation substituted with three alkyl groups, three aryl groups, or a combination of alkyl and aryl groups.


Exemplary monomers of formula (8) include the following:




embedded image


embedded image


wherein G+ is the organic cation.


The polymer may include a repeating unit comprising a PAG moiety in an amount from 1 to 15 mol %, typically from 1 to 8 mol %, more typically from 2 to 6 mol %, based on total repeating units in the polymer.


Typically, when the photoresist composition includes a non-polymeric PAG, the PAG is present in the photoresist composition in an amount of from 0.1 to 55 wt %, more typically 1 to 25 wt %, based on total solids of the photoresist composition. When present in polymeric form, the PAG is typically included in a polymer in an amount from 1 to 25 mol %, more typically from 1 to 8 mol %, or from 2 to 6 mol %, based on total repeating units in the polymer.


In some aspects, the anion and/or cation of the PAG does not include and is free of —F, —CF3, or −CF2— groups. It should be understood that “free of —F, —CF3, or —CF2— groups” means that the anion and/or cation of the PAG excludes groups such as —CH2CF3 and —CH2CF2CH3. In still other aspects, the anion and/or the cation of the PAG is free of fluorine (i.e., does not contain a fluorine atom and is not substituted by a fluorine-containing group). In some aspects, the photoacid generator is free of fluorine (i.e., both the photoactive cation and the anion are free of fluorine).


In some aspects, the photoresist composition may further include a material that comprises one or more base-labile groups (a “base-labile material”). As referred to herein, base-labile groups are functional groups that can undergo cleavage reaction to provide polar groups such as hydroxyl, carboxylic acid, sulfonic acid, and the like, in the presence of an aqueous alkaline developer after exposure and post-exposure baking steps. The base-labile group will not react significantly (e.g., will not undergo a bond-breaking reaction) prior to a development step of the photoresist composition that comprises the base-labile group. Thus, for instance, a base-labile group will be substantially inert during pre-exposure soft-bake, exposure, and post-exposure bake steps. By “substantially inert” it is meant that ≤5%, typically ≤1%, of the base-labile groups (or moieties) will decompose, cleave, or react during the pre-exposure soft-bake, exposure, and post-exposure bake steps. The base-labile group is reactive under typical photoresist development conditions using, for example, an aqueous alkaline photoresist developer such as a 0.26 normal (N) aqueous solution of tetramethylammonium hydroxide (TMAH). For example, a 0.26 N aqueous solution of TMAH may be used for single puddle development or dynamic development, e.g., where the 0.26 N TMAH developer is dispensed onto an imaged photoresist layer for a suitable time such as 10 to 120 seconds (s). An exemplary base-labile group is an ester group, typically a fluorinated ester group. Preferably, the base-labile material is substantially not miscible with and has a lower surface energy than the polymer and other solid components of the photoresist composition. When coated on a substrate, the base-labile material can thereby segregate from other solid components of the photoresist composition to a top surface of the formed photoresist layer.


In some aspects, the base-labile material may be a polymeric material, also referred to herein as a base-labile polymer, which may include one or more repeating units comprising one or more base-labile groups. For example, the base-labile polymer may comprise a repeating unit comprising two or more base-labile groups that are the same or different. A preferred base-labile polymer includes at least one repeating unit comprising two or more base-labile groups, for example a repeating unit comprising two or three base-labile groups.


The base-labile polymer may be prepared using any suitable methods in the art. For example, the base-labile polymer may be obtained by polymerization of the respective monomers under any suitable conditions, such as by heating at an effective temperature, irradiation with actinic radiation at an effective wavelength, or a combination thereof. Additionally, or alternatively, one or more base-labile groups may be grafted onto the backbone of a polymer using suitable methods.


In some aspects, the base-labile material is a single molecule comprising one more base-labile ester groups, preferably one or more fluorinated ester groups. The base-labile materials that are single molecules typically have a molecular weight in the range from 50 to 1,500 Da.


When present, the base-labile material is typically present in the photoresist compositions in an amount of from 0.01 to 10 wt %, typically from 1 to 5 wt %, based on total solids of the photoresist composition.


Additionally, or alternatively, to the base-labile polymer, the photoresist compositions may further include one or more polymers in addition to and different from the polymer as described above. For example, the photoresist compositions may include an additional polymer or polymer as described above but different in composition. Additionally, or alternatively, the one or more additional polymers may include those well known in the photoresist art, for example, those chosen from polyacrylates, polyvinylethers, polyesters, polynorbornenes, polyacetals, polyethylene glycols, polyamides, polyacrylamides, polyphenols, novolacs, styrenic polymers, polyvinyl alcohols, or combinations thereof.


The photoresist composition may further include one or more additional, optional additives. For example, optional additives may include actinic and contrast dyes, anti-striation agents, plasticizers, speed enhancers, sensitizers, photo-decomposable quenchers (PDQ) (and, also known as photo-decomposable bases), basic quenchers, thermal acid generators, surfactants, and the like, or combinations thereof. If present, the optional additives are typically present in the photoresist compositions in an amount of from 0.01 to 10 wt %, based on total solids of the photoresist composition.


PDQs generate a weak acid upon irradiation. The acid generated from a photo-decomposable quencher is not strong enough to react rapidly with acid-labile groups that are present in the resist matrix. Exemplary photo-decomposable quenchers include, for example, photo-decomposable cations, and preferably those also useful for preparing strong acid generator compounds, paired with an anion of a weak acid (pKa>1) such as, for example, an anion of a C1-20 carboxylic acid or C1-20 sulfonic acid. Exemplary carboxylic acids include formic acid, acetic acid, propionic acid, tartaric acid, succinic acid, cyclohexanecarboxylic acid, benzoic acid, salicylic acid, and the like. Exemplary sulfonic acids include p-toluene sulfonic acid, camphor sulfonic acid and the like. In a preferred embodiment, the photo-decomposable quencher is a photo-decomposable organic zwitterion compound such as diphenyliodonium-2-carboxylate.


The PDQ may be in non-polymeric or polymer-bound form. The polymerized units containing the photo-decomposable quencher are typically present in an amount from 0.1 to 30 mol %, preferably from 1 to 10 mol % and more preferably from 1 to 2 mol %, based on total repeating units of the polymer.


Exemplary basic quenchers include, for example, linear aliphatic amines such as tributylamine, trioctylamine, triisopropanolamine, tetrakis(2-hydroxypropyl)ethylenediamine:n-tert-butyldiethanolamine, tris(2-acetoxy-ethyl) amine, 2,2′,2″,2″-(ethane-1,2-diylbis(azanetriyl))tetraethanol, 2-(dibutylamino)ethanol, and 2,2′,2″-nitrilotriethanol; cyclic aliphatic amines such as 1-(tert-butoxycarbonyl)-4-hydroxypiperidine, tert-butyl 1-pyrrolidinecarboxylate, tert-butyl 2-ethyl-1H-imidazole-1-carboxylate, di-tert-butyl piperazine-1,4-dicarboxylate, and N-(2-acetoxy-ethyl)morpholine; aromatic amines such as pyridine, di-tert-butyl pyridine, and pyridinium; linear and cyclic amides and derivatives thereof such as N,N-bis(2-hydroxyethyl)pivalamide, N,N-diethylacetamide, N1,N1,N3,N3-tetrabutylmalonamide, 1-methylazepan-2-one, 1-allylazepan-2-one, and tert-butyl 1,3-dihydroxy-2-(hydroxymethyl)propan-2-ylcarbamate; ammonium salts such as quaternary ammonium salts of sulfonates, sulfamates, carboxylates, and phosphonates; imines such as primary and secondary aldimines and ketimines; diazines such as optionally substituted pyrazine, piperazine, and phenazine; diazoles such as optionally substituted pyrazole, thiadiazole, and imidazole; and optionally substituted pyrrolidones such as 2-pyrrolidone and cyclohexyl pyrrolidine.


The basic quenchers may be in non-polymeric or polymer-bound form. When in polymeric form, the quencher may be present in repeating units of the polymer. The repeating units containing the quencher are typically present in an amount of from 0.1 to 30 mol %, preferably from 1 to 10 mol % and more preferably from 1 to 2 mol %, based on total repeating units of the polymer.


Exemplary surfactants include fluorinated and non-fluorinated surfactants and can be ionic or non-ionic, with non-ionic surfactants being preferable. Exemplary fluorinated non-ionic surfactants include perfluoro C4 surfactants such as FC-4430 and FC-4432 surfactants, available from 3M Corporation; and fluorodiols such as POLYFOX PF-636, PF-6320, PF-656, and PF-6520 fluorosurfactants from Omnova. In an aspect, the photoresist composition further includes a surfactant polymer including a fluorine-containing repeating unit.


Also provided are methods of forming a pattern. According to an aspect, a method of forming a pattern includes applying a layer of a photoresist composition on a substrate to form a photoresist composition layer; pattern-wise exposing the photoresist composition layer to activating radiation to form an exposed photoresist composition layer; and developing the exposed photoresist composition layer. The photoresist composition includes the polymer as described herein and a solvent. In some embodiments, the polymer of the photoresist composition includes a first repeating unit derived from a first monomer represented by formula (1). In some embodiments, the polymer of the photoresist composition includes a first repeating unit derived from a first monomer represented by formula (1); and a second repeating unit including an acid labile group, a hydroxyaryl group, or a fluoroalcohol group. In still other embodiments, the polymer of the photoresist composition includes a first repeating unit derived from a first monomer represented by formula (1); a second repeating unit including an acid labile group, a hydroxyaryl group, or a fluoroalcohol group; and a third repeating unit as provided herein.


Patterning methods using the photoresist compositions of the invention will now be described. Suitable substrates on which the photoresist compositions can be coated include electronic device substrates. A wide variety of electronic device substrates may be used in the present invention, such as: semiconductor wafers; polycrystalline silicon substrates; packaging substrates such as multichip modules; flat panel display substrates; substrates for light emitting diodes (LEDs) including organic light emitting diodes (OLEDs); and the like, with semiconductor wafers being typical. Such substrates are typically composed of one or more of silicon, polysilicon, silicon oxide, silicon nitride, silicon oxynitride, silicon germanium, gallium arsenide, aluminum, sapphire, tungsten, titanium, titanium-tungsten, nickel, copper, and gold. Suitable substrates may be in the form of wafers such as those used in the manufacture of integrated circuits, optical sensors, flat panel displays, integrated optical circuits, and LEDs. Such substrates may be any suitable size. Typical wafer substrate diameters are 200 to 300 millimeters (mm), although wafers having smaller and larger diameters may be suitably employed according to the present invention. The substrates may include one or more layers or structures which may optionally include active or operable portions of devices being formed.


Typically, one or more lithographic layers such as a hardmask layer, for example, a spin-on-carbon (SOC), amorphous carbon, or metal hardmask layer, a CVD layer such as a silicon nitride (SiN), a silicon oxide (SiO), or silicon oxynitride (SiON) layer, an organic or inorganic underlayer, or combinations thereof, are provided on an upper surface of the substrate prior to coating a photoresist composition of the present invention. Such layers, together with an overcoated photoresist layer, form a lithographic material stack.


Optionally, a layer of an adhesion promoter may be applied to the substrate surface prior to coating the photoresist compositions. If an adhesion promoter is desired, any suitable adhesion promoter for polymer films may be used, such as silanes, typically organosilanes such as trimethoxyvinylsilane, triethoxyvinylsilane, hexamethyldisilazane, or an aminosilane coupler such as gamma-aminopropyltriethoxysilane. Particularly suitable adhesion promoters include those sold under the AP™ 3000, AP™ 8000, and AP™ 9000S designations, available from DuPont Electronics & Industrial (Marlborough, Massachusetts).


The photoresist composition may be coated on the substrate by any suitable method, including spin coating, spray coating, dip coating, doctor blading, or the like. For example, applying the layer of photoresist may be accomplished by spin coating the photoresist in solvent using a coating track, in which the photoresist is dispensed on a spinning wafer. During dispensing, the wafer is typically spun at a speed of up to 4,000 rotations per minute (rpm), for example, from 200 to 3,000 rpm, for example, from 1,000 to 2,500 rpm, for a period from 15 to 120 seconds to obtain a layer of the photoresist composition on the substrate. It will be appreciated by those skilled in the art that the thickness of the coated layer may be adjusted by changing the spin speed and/or the total solids of the composition. A photoresist composition layer formed from the compositions of the invention typically has a dried layer thickness from 3 to 30 micrometers (μm), preferably from greater than 5 to 30 μm, and more preferably from 6 to 25 μm.


The photoresist composition is typically next soft-baked to minimize the solvent content in the layer, thereby forming a tack-free coating and improving adhesion of the layer to the substrate. The soft bake is performed, for example, on a hotplate or in an oven, with a hotplate being typical. The soft bake temperature and time will depend, for example, on the photoresist composition and thickness. The soft bake temperature is typically from 80 to 170° C., and more typically from 90 to 150° C. The soft bake time is typically from 10 seconds to 20 minutes (mins.), more typically from 1 to 10 mins., and still more typically from 1 to 2 mins. The heating time can be readily determined by one of ordinary skill in the art based on the components of the composition.


The photoresist layer is next pattern-wise exposed to activating radiation to create a difference in solubility between exposed and unexposed regions. Reference herein to exposing a photoresist composition to radiation that is activating for the composition indicates that the radiation can form a latent image in the photoresist composition. The exposure is typically conducted through a patterned photomask that has optically transparent and optically opaque regions corresponding to regions of the resist layer to be exposed and unexposed, respectively. Such exposure may, alternatively, be conducted without a photomask in a direct writing method, typically used for e-beam lithography. The activating radiation typically has a wavelength of sub-400 nm, sub-300 nm or sub-200 nm, with 248 nm (KrF), 193 nm (ArF), 13.5 nm (EUV) wavelengths or e-beam lithography being preferred. Preferably, the activating radiation is 248 nm radiation. The methods find use in immersion or dry (non-immersion) lithography techniques. The exposure energy is typically from 1 to 200 millijoules per square centimeter (mJ/cm2), preferably from 10 to 100 mJ/cm2 and more preferably from 20 to 50 mJ/cm2, dependent upon the exposure tool and components of the photoresist composition.


Following exposure of the photoresist layer, a post-exposure bake (PEB) of the exposed photoresist layer is performed. The PEB can be conducted, for example, on a hotplate or in an oven, with a hotplate being typical. Conditions for the PEB will depend, for example, on the photoresist composition and layer thickness. The PEB is typically conducted at a temperature from 70 to 150° C., preferably from 75 to 120° C., and a time from 30 to 120 seconds. A latent image defined by the polarity-switched (exposed regions) and unswitched regions (unexposed regions) is formed in the photoresist.


The exposed photoresist layer is then developed with a suitable developer to selectively remove those regions of the layer that are soluble in the developer while the remaining insoluble regions form the resulting photoresist pattern relief image. In the case of a positive-tone development (PTD) process, the exposed regions of the photoresist layer are removed during development and unexposed regions remain. Conversely, in a negative-tone development (NTD) process, the exposed regions of the photoresist layer remain, and unexposed regions are removed during development. Application of the developer may be accomplished by any suitable method such as described above with respect to application of the photoresist composition, with spin coating being typical. The development time is for a period effective to remove the soluble regions of the photoresist, with a time of from 5 to 60 seconds being typical. Development is typically conducted at room temperature.


Suitable developers for a PTD process include aqueous base developers, for example, quaternary ammonium hydroxide solutions such as TMAH, preferably 0.26 N TMAH, tetraethylammonium hydroxide, tetrabutylammonium hydroxide, sodium hydroxide, potassium hydroxide, sodium carbonate, potassium carbonate, and the like. Suitable developers for an NTD process are organic solvent-based, meaning the cumulative content of organic solvents in the developer is 50 wt % or more, typically 95 wt % or more, 98 wt % or more, or 100 wt %, based on total weight of the developer. Suitable organic solvents for the NTD developer include, for example, those chosen from ketones, esters, ethers, hydrocarbons, and mixtures thereof. The developer is typically 2-heptanone or n-butyl acetate.


A coated substrate may be formed from the photoresist compositions of the invention. Such a coated substrate includes: (a) a substrate having one or more layers to be patterned on a surface thereof; and (b) a layer of the photoresist composition over the one or more layers to be patterned.


The photoresist pattern may be used, for example, as an etch mask, thereby allowing the pattern to be transferred to one or more sequentially underlying layers by known etching techniques, typically by dry-etching such as reactive ion etching. The photoresist pattern may, for example, be used for pattern transfer to an underlying hardmask layer which, in turn, is used as an etch mask for pattern transfer to one or more layers below the hardmask layer. If the photoresist pattern is not consumed during pattern transfer, it may be removed from the substrate by known techniques, for example, oxygen plasma ashing The photoresist compositions may, when used in one or more such patterning processes, be used to fabricate semiconductor devices such as memory devices, processor chips (CPUs), graphics chips, optoelectronic chips, LEDs, OLEDs, as well as other electronic devices.


The invention is further illustrated by the following non-limiting examples.


EXAMPLES
Synthesis Examples

The synthetic reactions were performed under an anhydrous nitrogen atmosphere. All chemicals were used as received from commercial suppliers and without further purification. Proton nuclear magnetic resonance (1H-NMR) spectra for all compounds were obtained either on a 499-megahertz (MHz) NMR spectrometer. The chemical shifts are reported in δ (parts per million, ppm) relative to an internal tetramethylsilane standard. Multiplicities are indicated by singlet (s), doublet (d), triplet (t), multiplet (m), doublet of doublets (dd), doublet of triplets (dt), triplet of triplets (tt), or broad singlet (br).


Synthesis of Intermediate I-1



embedded image


A multi-neck round bottom flask was charged with 2,6-dihydroxybenzoic acid (38.5 grams (g), 1 equivalent (equiv.)) and 4-pyrrolidinopyridine (1.85 g, 0.05 equiv.). The atmosphere was purged with anhydrous nitrogen, and the solid materials were suspended in dichloromethane (350 milliliters (mL)). The temperature of the mixture was cooled to 0° C., and cyclopentanone (27.3 g, 1.3 equiv.) and thionyl chloride (23.6 mL, 1.3 equiv.) were added to the mixture sequentially while stirring. The reaction was warmed to room temperature while stirring for 5 hours (h). The reaction was quenched by pouring the contents over a solution of saturated aqueous sodium bicarbonate (1 L). The biphasic mixture was separated, and the aqueous layer was extracted with ethyl acetate (3×150 mL). The organic layers were combined and washed with deionized (DI) water (3×100 mL), dried, and concentrated under a reduced pressure. The crude mixture was loaded onto a pad of silica gel, and the product was eluted with 25% v/v ethyl acetate in heptane. The filtrate was concentrated under a reduced pressure to yield Intermediate I-1 as a colorless oil (25.2 g, 46%). 1H-NMR (δ, CDCl3) 10.28 ppm (s, 1H), 7.40 ppm (t, J=8.3 Hz, 1H), 6.63 ppm (dd, J=8.5, 1.0 Hz, 1H), 6.46 ppm (dd, J=8.2, 1.0 Hz, 1H), 2.23-2.11 ppm (m, 4H), and 1.93-1.76 ppm (m, 4H).


Synthesis of Monomer M-4



embedded image


Intermediate I-1 (15.0 g, 1 equiv.) was suspended in dichloromethane (250 mL). Methacryloyl chloride (8.54 g, 1.2 equiv.) and triethylamine (10.3 g, 1.5 equiv.) were added sequentially to the reaction mixture. The reaction was stirred at room temperature for 16 hours. Saturated aqueous sodium bicarbonate (100 mL) was added, and the mixture was stirred vigorously for 5 minutes. The biphasic mixture was separated, and the organic layer was washed with DI water (5×50 mL). The organic layer was dried and concentrated under a reduced pressure to yield Monomer M-4 as a colorless oil (17.5 g, 89%). 1H-NMR (δ, CDCl3) 7.52 ppm (t, J=8.2 Hz, 1H), 6.91 ppm (t, J=8.8 Hz, 1H), 6.84 ppm (d, J=8.1 Hz, 1H), 6.39 ppm (s, 1H), 5.78 ppm (s, 1H), 2.24-2.10 ppm (m, 4H), 2.09 (s, 3H), and 1.89-1.75 ppm (m, 4H).


Synthesis of Intermediate I-2



embedded image


A multi-neck round bottom flask was charged with 2,6-dihydroxybenzoic acid (15.41 g, 1 equiv.) and 4-pyrrolidinopyridine (741 g, 0.05 equiv.). The atmosphere was purged with anhydrous nitrogen, and the solid materials were suspended in dichloromethane (150 mL). The temperature of the mixture was cooled to 0° C., and 4-methoxyacetophenone (13.5 g, 0.9 equiv.) and thionyl chloride (9.42 mL, 1.3 equiv.) were added sequentially to the reaction mixture while stirring. The reaction mixture was then warmed to room temperature while stirring for 5 hours. The reaction was quenched by pouring the reaction mixture into a solution of saturated aqueous sodium bicarbonate (400 mL). The biphasic mixture was separated, and the aqueous layer was extracted with ethyl acetate (3×150 mL). The organic layers were combined and washed with DI water (3×100 mL), diluted with heptane (2×), and filtered over a pad of silica gel. The filtrate was concentrated under a reduced pressure and the product was purified by flash chromatography using silica gel (gradient 0-30% v/v ethyl acetate in heptane). The product was concentrated under a reduced pressure to yield Intermediate I-2 as a white solid (9.44 g, 35%). 1H-NMR (δ, CDCl3) 10.15 ppm (s, 1H), 7.42-7.32 ppm (m, 3H), 6.82 ppm (d, J=9.0 Hz, 2H), 6.55-6.49 ppm (m, 2H), 3.76 ppm (s, 3H), and 1.97 ppm (s, 3H).


Synthesis of Monomer M-5



embedded image


Intermediate I-2 (9.44 g, 1 equiv.) was suspended in dichloromethane (100 mL). Methacryoyl chloride (4.18 g, 1.2 equiv.) and triethylamine (5.06 g, 1.5 equiv.) were added sequentially to the reaction mixture. The reaction mixture was stirred at room temperature for 16 hours. Saturated aqueous sodium bicarbonate (100 mL) was added to the reaction mixture, and the resulting mixture was stirred vigorously for 5 minutes. The biphasic mixture was separated, and the organic layer was washed with DI water (5×50 mL). The organic layer was filtered over a pad of silica, washing with 50% v/v ethyl acetate in heptane. The filtrate was collected and concentrated under a reduced pressure to yield Monomer M-5 as a colorless oil (8.6 g, 85%). 1H-NMR (δ, CDCl3) 7.47 ppm (t, J=8.2 Hz, 1H), 7.38 ppm (d, J=8.6 Hz, 2H), 6.94 ppm (d, J=8.4 Hz, 1H), 6.83 ppm (d, J=8.6 Hz, 2H), 6.73 ppm (d, J=8.1 Hz, 1H), 6.34 ppm (s, 1H), 5.75 ppm (q, J=1.7 Hz, 1H), 3.75 ppm (s, 3H), 2.06 ppm (s, 3H), and 1.95 ppm (s, 3H).


Synthesis of Intermediate I-3



embedded image


A multi-neck round bottom flask was charged with 2,6-dihydroxybenzoic acid (15.41 g, 1 equiv.) and 4-pyrrolidinopyridine (741 mg, 0.05 equiv.). The atmosphere was purged with anhydrous nitrogen, and the solid materials were suspended in dichloromethane (150 mL). The mixture was cooled to 0° C., and acetone (9.42 mL, 1.3 equiv.) and thionyl chloride (4.6 mL, 1.3 equiv.) were added sequentially while stirring. The reaction mixture was warmed to room temperature while stirring for 5 hours. The reaction was quenched by pouring the reaction mixture into a solution of saturated aqueous sodium bicarbonate (400 mL). The resulting biphasic mixture was separated, and the aqueous layer was extracted with ethyl acetate (3×100 mL). The organic layers were combined and washed with DI water (3×100 mL), dried, and concentrated under a reduced pressure. The crude mixture was loaded onto a pad of silica gel, and the product was eluted with 25% v/v ethyl acetate in heptane. The filtrate was concentrated under a reduced pressure to yield Intermediate I-3 as a white solid (7.5 g, 39%). 1H-NMR (δ, CDCl3) 10.34 ppm (s, 1H), 7.41 ppm (t, J=8.3 Hz, 1H), 6.63 ppm (d, J=8.5 Hz, 1H), 6.44 ppm (d, J=8.1 Hz, 1H), and 1.75 ppm (s, 6H).


Synthesis of Monomer M-6



embedded image


Intermediate I-3 (7.35 g, 1 equiv.) was suspended in dichloromethane (150 mL). Methacryoyl chloride (4.75 g, 1.2 equiv.) and triethylamine (7.9 mL, 1.5 equiv.) were added sequentially to the reaction mixture. The reaction mixture was stirred at room temperature for 16 hours. DI water (100 mL) was then added, and the resulting mixture was stirred vigorously for 5 minutes. The biphasic mixture was separated, and the organic layer was washed with DI water (5×50 mL). The organic layer was dried and concentrated under a reduced pressure to yield Monomer M-6 as a white solid (7.23 g, 73%). 1H-NMR (δ, CDCl3) 7.53 ppm (t, J=8.2 Hz, 1H), 6.88 ppm (dd, J=8.4, 1.1 Hz, 1H), 6.83 ppm (dd, J=8.2, 1.0 Hz, 1H), 6.38 ppm (s, 1H), 5.78 ppm (t, J=1.5 Hz, 1H), 2.08 ppm (t, J=1.3 Hz, 3H), and 1.73 ppm (s, 6H).


Synthesis of Monomer M-7



embedded image


To a mixture of Intermediate I-1 (10.0 g, 1 equiv.) and 1-(chloromethyl)-4-vinylbenzene (6.26 g, 0.9 equiv.) in N,N-dimethylformamide (100 mL) was added sodium iodide (675 mg, 0.1 equiv.) and potassium carbonate (12.6 g, 2 equiv.). The reaction mixture was heated to 70° C. (external temperature) while stirring for 4 hours. The reaction mixture was then cooled to room temperature and diluted with DI water (500 mL). The resulting aqueous mixture was extracted with dichloromethane (4×150 mL), and the combined organic layers were washed with DI water (5×100 mL), dried, and concentrated under a reduced pressure onto a pad of basic alumina. The material was loaded onto a pad of silica gel and the stationary phase was washed with 10% v/v ethyl acetate in heptane. The filtrate was discarded, and the product was eluted with dichloromethane. Fractions containing pure product were isolated and concentrated under a reduced pressure to afford Monomer M-7 as a white solid (9.8 g, 71%). 1H-NMR (δ, acetone-d6) 7.59 ppm (d, J=8.0 Hz, 2H), 7.53 ppm (t, J=8.3 Hz, 1H), 7.49 ppm (d, J=8.1 Hz, 2H), 6.89 ppm (d, J=8.5 Hz, 1H), 6.77 ppm (dd, J=17.6, 11.0 Hz, 1H), 6.65 ppm (d, J=8.3 Hz, 1H), 5.82 ppm (d, J=17.7 Hz, 1H), 5.26 ppm (s, 2H), 5.23 ppm (d, J=11.0 Hz, 1H), 2.14-2.06 ppm (m, 4H), and 1.87-1.72 ppm (m, 4H).


Polymer Synthesis

The following monomers were used to prepare the polymers P-1, P-2, P-3, P-4, P-5, and CP-1.




embedded image


embedded image


Synthesis of Polymer P-1

Polymer P-1 was prepared from the monomers M-1, M-3, and M-4, at a molar feed ratio of 45/45/10. A feed solution was made by dissolving monomer M-1 (15.68 g, 96.8 mmol), monomer M-3 (18.59 g, 102.0 mmol), and monomer M-4 (5.76 g, 20.0 mmol) in 40 g of PGMEA. An initiator solution was separately prepared by dissolving 4.18 g of 2,2′-azobis(2,4-dimethylvaleronitrile) (obtained as V-65 from Wako Pure Chemical Industries, Ltd.).


The polymerization was performed in a 3-neck round bottom flask fitted with a water condenser and a thermometer to monitor the reaction in the flask. The reactor was charged with 20 g of PGMEA and heated to 75° C. The feed solution and the initiator solution were each fed separately into the reactor using syringe pumps over 6 hours. Following the addition, the contents were then stirred for an additional 2 hours. The contents were subsequently cooled to room temperature and precipitated into 1 liter (L) of heptane. The resulting product was isolated by filtration and was dried overnight at 35° C. under vacuum. The product was then dissolved in methanol (250 mL) and combined with 30wt % of sodium methoxide in a methanol solution (0.3 g). The reaction mixture was heated at 67° C. until completion of the reaction. The reaction mixture was allowed to cool to room temperature and was then neutralized with the addition of acidic ion exchange resin C381H (available from Evoqua Water Technologies LLC) The reaction converts the structure of the repeating unit derived from 4-acetoxystyrene (M-1) to 4-hydroxystyrene, as shown below:




embedded image


Partial structure of repeating unit derived from M-1


The polymer solution was precipitated into DI water to isolate a white solid (about 26.3 g) (Mw=6.5 kilodaltons (kDa), PDI=1.53), which was further dried under vacuum at 35° C. Molecular weight was determined by gel permeation chromatography (GPC) using polystyrene standards.


Synthesis of Polymers P-2, P-3, P-4, P-5, and CP-1

Each of the polymers in Table 1 was prepared using a similar procedure to that described for the preparation of Polymer P-1, except that the monomers and molar feed ratios as specified in Table 1 were used.














TABLE 1






Monomer 1
Monomer 2
Monomer 3
Mw



Polymer
(mol %)
(mol %)
(mol %)
(kD)
PDI




















P-1
M-1 (45)
M-3 (45)
M-4 (10)
5.5
1.53


P-2
M-1 (40)
M-2 (35)
M-4 (25)
5.5
1.66


P-3
M-1 (40)
M-3 (50)
M-5 (10)
5.2
1.51


P-4
M-1 (40)
M-2 (50)
M-6 (10)
6.6
1.59


P-5
M-1 (40)
M-3 (50)
M-7 (10)
4.7
2.16


CP-1*
M-1 (40)
M-3 (60)

7.3
1.8





*denotes a comparative polymer






Lithographic Evaluation
Formulation Information

Photoresist compositions were prepared by dissolving solid components in solvents using the materials and amounts indicated in Tables 2 and 3, where the amounts are expressed in wt % based on 100 wt % of total weight of the solids. The total solids content for the photoresist compositions was 2.5 wt %. The solvent system contained PGMEA (50 wt %) and methyl-2-hydroxyisobutyrate (50 wt %). Each mixture was shaken using a mechanical shaker and then filtered through a PTFE disk-shaped filter having a pore size of 0.2 micron.


Lithographic Patterning and Data Analysis

Lithography was performed using a CLEAN TRAC ACT8 (TEL, Tokyo Electron Co.) wafer track. 200 nm wafers for photolithographic testing were coated with an AR™ 3 BARC (DuPont Electronics & Industrial) and softbaked at 205° C. for 60 seconds to give a 60 nm film. A coating of AR™ 40A BARC (DuPont Electronics & Industrial) was then disposed on the AR™ 3 layer and softbaked at 215° C. for 60 seconds to form a second BARC layer having a thickness of about 80 nm. A photoresist composition was then coated onto the dual BARC stack and soft-baked at 110° C. for 60 seconds to give a photoresist film layer having a thickness of about 70 nm.


The wafers were exposed with 248 nm radiation on a CANON FPA-5000 ES4 scanner (NA=0.8, outer sigma=0.85, inner sigma=0.57) with a mask having features as described herein. The wafers were post-exposure baked at 100° C. for 60 seconds, developed with MF™ CD26 TMAH developer (DuPont Electronics & Industrial) for 60 seconds, rinsed with DI water, and dried. Critical dimension (CD) linewidth measurements of the formed patterns were made using a HITACHI S-9380 CD-SEM. LWR values were determined by top-down SEM at an accelerating voltage of 800 volts (V), probe current of 8.0 picoamperes (pA), using 200 Kx magnification at 1.0 digital zoom, with the number of frames set to 64. The LWR was measured over a 2 μm line length in steps of 40 nm and reported as the average LWR for the measured region. Sizing energy (Esize) and line width roughness (LWR) of the lines were determined based on the CD measurements.


The pseudo Z-factor is reported below and was determined according to Equation 1:





Pseudo Z-factor=(Esize)×(LWR)2   (Equation 1)


where Esize is reported in millijoules per square centimeter (mJ/cm2), LWR is reported in nanometers (nm), and the pseudo Z-factor is reported in mJ×10−11. The pseudo Z-factor is a modified measure of photoresist performance based on the Z-factor, which is a known parameter indicative of RLS (Resolution, Line Edge Roughness, Sensitivity) photoresist performance (see, e.g., Wallow, T. et al Proc. SPIE 6921, 69211F, 2008). The pseudo Z-factor is calculated at a constant resolution (CD size).


Line Space (L/S) Patterning

The photoresist compositions of Table 2 were evaluated for L/S patterning under KrF exposure (248 nm) as described above and using a bright field mask pattern. Esize and LWR of the spaces were determined based on the CD measurements. Esize was determined as the irradiation energy dose at which the target 120 nm L/S pattern was resolved. The Esize, LWR, and pseudo-Z factor data are shown in Table 2.















TABLE 2





Photoresist



Esize
LWR
Pseudo-Z factor


Composition
Polymer
PAG
Additive
(mJ/cm2)
(nm)
(mJ E-11)







PR-1
P-1
PAG-1
Q1
150.2
6.83
7.02



(78.49%)
(16.45%)
(5.06%)


PR-2
P-2
PAG-1
Q1
138.9
6.99
6.80



(78.49%)
(16.45%)
(5.06%)


PR-3
P-3
PAG-1
Q1
151.2
6.78
6.96



(78.49%)
(16.45%)
(5.06%)


PR-4
P-4
PAG-1
Q1
136.6
6.41
5.62



(78.49%)
(16.45%)
(5.06%)


PR-5
P-5
PAG-1
Q1
178.3
6.22
6.89



(78.49%)
(16.45%)
(5.06%)


PR-6*
CP-1
PAG-1
Q1
163.2
6.67
7.26



(78.49%)
(16.45%)
(5.06%)





*denotes a comparative photoresist composition






The structures of PAG-1 and the additive Q1 are shown below.




embedded image


As can be seen in Table 2, the photoresist compositions PR-1 to PR-5 achieved improved pseudo-Z factors (a decreased pseudo-Z factor value) relative to comparative photoresist composition PR-6* in L/S patterning under KrF exposure.


Trench (TR) Patterning

The photoresist compositions of Table 3 were evaluated for TR patterning under KrF exposure as described above and using a dark field mask pattern. Esize and LWR of the spaces were determined based on the CD measurements. Esize was determined from the irradiation energy at which the target 120 nm TR pattern was resolved. The Esize, LWR, and pseudo-Z factor data are shown in Table 3. It is noted that photoresist compositions PR-7 to PR-11 were the same as photoresist compositions PR-1 to PR-5, and comparative photoresist composition PR-12 was the same as comparative photoresist composition PR-6.















TABLE 3





Photoresist



ESize
LWR
Pseudo-Z factor


Composition
Polymer
PAG
Additive
(mJ/cm2)
(nm)
(mJ E-11)







PR-7
P-1
PAG-1
Q1
162.5
6.29
6.42



(78.49%)
(16.45%)
(5.06%)


PR-8
P-2
PAG-1
Q1
147.9
6.23
5.74



(78.49%)
(16.45%)
(5.06%)


PR-9
P-3
PAG-1
Q1
162.9
6.48
6.84



(78.49%)
(16.45%)
(5.06%)


PR-10
P-4
PAG-1
Q1
145.6
5.61
4.58



(78.49%)
(16.45%)
(5.06%)


PR-11
P-5
PAG-1
Q1
197.4
5.56
6.10



(78.49%)
(16.45%)
(5.06%)


PR-12*
CP-1
PAG-1
Q1
176.5
6.19
6.77



(78.49%)
(16.45%)
(5.06%)





*denotes a comparative photoresist composition






As can be seen in Table 3, the photoresist compositions PR-7, PR-8, PR-10, and PR-11 achieved improved pseudo-Z factor (a decreased pseudo-Z factor value) relative to comparative photoresist composition PR-12* in TR patterning under KrF exposure. Although the photoresist composition PR-9 did not achieve an improved pseudo-Z factor over the comparative photoresist composition PR-12*, the photoresist composition PR-9 still demonstrated a superior photospeed relative to the comparative photoresist composition PR-12* in TR patterning under KrF exposure.


While this disclosure has been described in connection with what is presently considered to be practical exemplary embodiments, it is to be understood that the invention is not limited to the disclosed embodiments, but, on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims.

Claims
  • 1. A polymer, comprising: a first repeating unit derived from a first monomer represented by formula (1); anda second repeating unit comprising an acid labile group, a hydroxyaryl group, a sulfonamide group, a fluoroalcohol group, or a combination thereof,
  • 2. The polymer of claim 1, wherein the first monomer is represented by formula (2):
  • 3. The polymer of claim 1, wherein the second repeating unit comprises an acid labile group.
  • 4. The polymer of claim 1, wherein the second repeating unit comprises a hydroxyaryl group, a sulfonamide group, a fluoroalcohol group, or a combination thereof.
  • 5. The polymer of claim 1, wherein the first monomer comprises a (meth)acrylic group or a vinyl aromatic group.
  • 6. The polymer of claim 1, wherein R1 and R2 are connected to form a ring that is substituted or unsubstituted.
  • 7. The polymer of claim 1, wherein the polymer comprises the first repeating unit in an amount from 5 mole percent to 50 mole percent, based on a total of 100 mole percent of repeating units in the polymer.
  • 8. The polymer of claim 1, wherein the second repeating unit comprises a hydroxyaryl group, a fluoroalcohol group, or a combination thereof.
  • 9. A photoresist composition, comprising: the polymer of claim 1; anda solvent.
  • 10. The photoresist composition of claim 9, further comprising a photoacid generator.
  • 11. A method of forming a pattern, the method comprising: applying a layer of a photoresist composition of claim 9 on a substrate to form a photoresist composition layer;pattern-wise exposing the photoresist composition layer to activating radiation to form an exposed photoresist composition layer; anddeveloping the exposed photoresist composition layer.
  • 12. A method of forming a pattern, the method comprising: applying a layer of a photoresist composition on a substrate to form a photoresist composition layer;pattern-wise exposing the photoresist composition layer to activating radiation to form an exposed photoresist composition layer; anddeveloping the exposed photoresist composition layer,wherein the photoresist composition comprises a polymer and a solvent, andwherein the polymer comprises a first repeating unit derived from a first monomer represented by formula (1):
  • 13. The method of claim 12, wherein the polymer further comprises a second repeating unit comprising an acid labile group, and wherein the first repeating unit and the second repeating unit are structurally different.
  • 14. The method of claim 12, wherein the polymer further comprises a second repeating unit comprising a hydroxyaryl group, a sulfonamide group, a fluoroalcohol group, or a combination thereof, and wherein the first repeating unit and the second repeating unit are structurally different.
  • 15. The method of claim 12, wherein the polymer further comprises a second repeating unit comprising a hydroxyaryl group, a fluoroalcohol group, or a combination thereof, and wherein the first repeating unit and the second repeating unit are structurally different.
  • 16. The method of claim 12, wherein R1 and R2 are connected to form a ring that is substituted or unsubstituted.
  • 17. The method of claim 12, wherein the first monomer comprises a (meth)acrylic group or a vinyl aromatic group.
  • 18. The method of claim 12, wherein the first monomer is represented by formula (2):
  • 19. The method of claim 12, wherein the polymer comprises the first repeating unit in an amount from 5 mole percent to 50 mole percent, based on a total of 100 mole percent of repeating units in the polymer.
  • 20. The polymer of claim 2, wherein R4 and R5 are connected to form a ring that is substituted or unsubstituted.