Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces

Information

  • Patent Grant
  • 11898240
  • Patent Number
    11,898,240
  • Date Filed
    Monday, March 29, 2021
    3 years ago
  • Date Issued
    Tuesday, February 13, 2024
    3 months ago
Abstract
Methods for selective deposition of silicon oxide films on dielectric surfaces relative to metal surfaces are provided. A metal surface of a substrate may be selectively passivated relative to the dielectric surface, such as with a polyimide layer or thiol SAM. Silicon oxide is selectively deposited on the dielectric surface relative to the passivated metal surface by contacting the dielectric surface with a metal catalyst and a silicon precursor comprising a silanol.
Description
BACKGROUND
Field

The present disclosure relates generally to selective deposition of silicon oxide on a first dielectric surface relative to a second metal or metallic surface of a substrate.


Description of the Related Art

The shrinking device dimensions in semiconductor manufacturing call for new innovative processing approaches. Conventionally, patterning in semiconductor processing involves subtractive processes, in which blanket layers are deposited, masked by photolithographic techniques, and etched through openings in the mask. Additive patterning is also known, in which masking steps precede deposition of the materials of interest, such as patterning using lift-off techniques or damascene processing. In most cases, expensive multi-step lithographic techniques are applied for patterning.


Patterning could be simplified by selective deposition, which has received increasing interest among semiconductor manufacturers. Selective deposition would be highly beneficial in various ways. Significantly, it could allow a decrease in lithography steps, reducing the cost of processing. Selective deposition could also enable enhanced scaling in narrow structures.


Thin films comprising silicon dioxide are used in many different applications in microelectronic devices, for example, as dielectric materials. Silicon dioxide is one of the most commonly used dielectric materials in silicon microelectronic devices.


SUMMARY

In some aspects, methods of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate are provided. In some embodiments, methods of selectively depositing silicon oxide on the dielectric surface of the substrate relative to the metal surface of the substrate comprise, in order: selectively passivating the dielectric surface relative to the metal surface; selectively forming a polymer passivation layer on the metal surface; contacting the dielectric surface with a metal catalyst; and contacting the dielectric surface with a silicon reactant comprising a silanol


In some embodiments, the metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, and Mo. In some embodiments, the dielectric surface comprises silicon oxide. In some embodiments, selectively passivating the dielectric surface comprises contacting the dielectric surface with a silylating agent. In some embodiments, the silylating agent comprises an alkylaminosilane. In some embodiments, the alkylaminosilane has the formula (RI)3Si(NRIIRIII), wherein RI is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group, RII is a linear or branched C1-C5 alkyl group, a linear or branched C1-C4 alkyl group, or hydrogen, and RIII is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group. In some embodiments, the silylating agent comprises allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).


In some embodiments, methods of selectively depositing silicon oxide on the dielectric surface of the substrate relative to the metal surface of the substrate further comprise treating the dielectric surface with plasma after selectively forming the polymer passivation layer on the metal surface and prior to contacting the dielectric surface with the metal catalyst. In some embodiments, the plasma comprises H2 plasma.


In some embodiments, the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA). In some embodiments, the metal catalyst comprises a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga. In some embodiments, the metal catalyst is a metal halide, organometallic compound, or metalorganic compound. In some embodiments, the silicon reactant comprises tris(tert-butoxy)silanol (TBS), tris(isopropoxy)silanol (TIS), or tris(tert-pentoxy)silanol (TPS). In some embodiments, the polymer passivation layer comprises a self-assembled monolayer (SAM). In some embodiments, a SAM is formed by exposing the substrate to a thiol polymer. In some embodiments, the polymer passivation layer comprises a polyimide layer.


In some embodiments, the selectivity of deposition of silicon oxide on the catalyzed dielectric surface relative to the passivated metal surface is greater than about 50%.


In some embodiments, methods of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate are provided, which comprise: selectively forming a polymer passivation layer on the metal surface, and conducting one or more silicon oxide deposition cycles comprising alternately and sequentially contacting the substrate with a metal catalyst and a silanol. In some embodiments, the methods of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate additionally comprise contacting the dielectric surface with a silylating agent prior to selectively forming the polymer passivation layer on the metal surface.


In some embodiments, the silicon oxide deposition cycle is repeated two or more times in a row. In some embodiments, the substrate is contacted with the silanol two or more times in at least one silicon oxide deposition cycle.


In some embodiments, the silylating agent comprises alyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA). In some embodiments, the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA). In some embodiments, the metal catalyst is a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga. In some embodiments, the metal catalyst is a metal halide, organometallic compound or metalorganic compound. In some embodiments, the silane is tris(tert-pentoxy)silanol (TPS). In some embodiments, the polymer passivation layer comprises a polyimide layer. In some embodiments, the polymer passivation layer is a thiol SAM.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is a flow chart illustrating a deposition process for selectively depositing silicon oxide on a dielectric surface relative to a metal surface.



FIG. 2A is a schematic cross section of a portion of a substrate having first dielectric surface and a second adjacent metal surface.



FIG. 2B is a schematic cross section of the substrate of FIG. 2A after selective treatment of the dielectric surface.



FIG. 2C is a schematic cross section of the substrate of FIG. 2B after selective passivation of the metal surface.



FIG. 2D is a schematic cross section of the substrate of FIG. 2C after selective deposition of an aluminum catalyst on the dielectric surface.



FIG. 2E is a schematic cross section of the substrate of FIG. 2D after selective deposition of silicon oxide on the dielectric surface.



FIG. 2F is a schematic cross section of the substrate of FIG. 2E after removal of the polymer passivation material from the metal surface.





DETAILED DESCRIPTION

The silicon oxide films, such as silicon dioxide films, for example SiO2 films, formed by the methods described herein can be used in a variety of contexts. Silicon oxide films, such as silicon dioxide films, for example SiO2 films, are used, for example, in a wide variety of semiconductor devices, including CMOS, DRAM, flash, and magnetic head applications. Silicon oxide, such as silicon dioxide, for example SiO2, is also commonly used as a gate dielectric for CMOS, as an electrical isolation layer, and gap filling layer. Silicon oxide films, such as silicon dioxide films, for example SiO2 films, can be selectively deposited on dielectric surfaces relative to metal surfaces on a substrate by the methods described herein. In some embodiments, silicon oxide is selectively deposited over a first dielectric surface, such as an oxide surface, relative to a second metal (or metallic) surface through the use of a passivation agent in combination with a catalyst. In some embodiments the dielectric surface is also functionalized prior to selective deposition of the silicon oxide.


In embodiments, the dielectric surface may be selectively passivated or functionalized relative to the metal surface, for example by selectively treating the surface, such as by silylation. In some embodiments the dielectric surface is functionalized by exposure to a silylating agent, such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA). In some embodiments the functionalization step may be omitted. In some embodiments the passivation may aid in subsequent selective passivation of the metal surface, as described below. In some embodiments the passivated dielectric surface may be treated, such as with a plasma, to provide the desired surface terminations to facilitate catalyst chemisorption, as described in more detail below.


The metal surface is passivated, such as by selectively forming a polymer layer on the metal surface. In some embodiments the silylation of the dielectric surface aids in the selectivity of the formation of the polymer passivation layer on the metal surface.


Subsequently, a catalyst is selectively deposited on the dielectric surface relative to the metal surface. In some embodiments the catalyst is selectively chemisorbed on the dielectric surface. The catalyst may be, for example, a metal catalyst as described in more detail below.


A silicon oxide layer is then selectively deposited on the dielectric surface relative to the passivated metal surface by contacting the substrate with a silicon reactant such as a silanol. The catalyst prepares the surface for reaction with the silanol that leads to catalytic silicon oxide growth selectively on the dielectric surface of the substrate relative to the passivated metal surface. The silicon oxide layer may be deposited by a cyclical vapor deposition process in which the substrate is alternately contacted with the catalyst and the silanol until a silicon oxide film of a desired thickness has been selectively deposited. Following silicon oxide deposition, the polymer passivation layer on the metal surface may be removed, such as by etching.


In some embodiments a dielectric surface, such as an oxide surface, on a substrate is silylated with a silylating agent such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA), a polymer is selectively deposited on a metal surface of the same substrate, a metal catalyst such as an aluminum catalyst is selectively deposited on the dielectric surface of the same substrate, and silicon oxide is subsequently selectively deposited on the dielectric surface of the substrate relative to the passivated metal surface. For example, a silicon oxide layer may be selectively deposited on a dielectric surface, such as a metal oxide surface, a silicon oxide surface or a low k surface, relative to an adjacent metal surface by, for example, silylating the dielectric surface with a silylating agent, using a thiol SAM or polyimide layer to passivate the metal surface, using trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA) as the catalyst, and a using a silanol such as tris(tert-pentoxy) silanol as the silicon reactant. In some embodiments the silylated dielectric surface is plasma treated prior to contacting the surface with the catalyst.


In some embodiments a metal or metallic surface of a substrate comprises an elemental metal or metal alloy, while a second, different surface of the substrate comprises a dielectric material, such as an oxide. In some embodiments the dielectric surface and metal surface are adjacent to each other or at least partially adjacent to each other. Examples of possible dielectric materials include silicon oxide based materials, including grown or deposited silicon dioxide, doped and/or porous oxides, native oxide on silicon, etc. In some embodiments the dielectric material comprises a metal oxide. In some embodiments the dielectric material comprises a low k material.


The surface of the dielectric material may be selectively functionalized or passivated relative to the metal or metallic surface, such as by selective silylation. In some embodiments the dielectric surface is contacted with a vapor phase passivation agent, such as vapor phase allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA). The substrate may be contacted with a sufficient quantity of the passivation agent and for a sufficient period of time that the dielectric surface is selectively passivated with silicon species. In some embodiments the dielectric surface is not passivated with a self-assembled monolayer (SAM).


A polymer passivation layer may be selectively formed on the metal surface relative to the dielectric surface. In some embodiments the passivation agent on the dielectric surface inhibits or prevents formation of the polymer passivation layer on the dielectric surface.


A plasma treatment may be used to activate the dielectric surface. For example, the silylated dielectric surface may be exposed to a H2 plasma.


A catalyst is selectively formed on the dielectric surface relative to the passivated metal surface, such as by contacting the substrate with a catalyst compound. Such a surface may be referred to as a “catalyzed dielectric surface” herein. In some embodiments, the catalyst is a metal catalyst. In some embodiments the substrate is contacted with a metal catalyst as described below. The catalyst may be, for example, a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga. In some embodiments the catalyst is a metal halide, organometallic or metalorganic compound. In some embodiments the catalyst may be a metal oxide. In some embodiments the metal catalyst is an aluminum catalyst comprising trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA). In some embodiments the catalyst is a zirconium compound, such as Zr-DO4. In some embodiments the catalyst is tetrakis(ethylmethylamino)zirconium (TEMAZ). In some embodiments the catalyst is ZrCl4. In some embodiments the catalyst is a lanthanum compound, such as tris(isopropyl-cyclopentadienyl)lanthanum (LA(iPrCp)3). In some embodiments the catalyst is a titanium compound, such as titanium isopropoxide (TTIP) or TiCl4. In some embodiments the catalyst is a gallium compound, such as trimethylgallium (TMG). In some embodiments the catalyst is a hafnium compound, such as HfCl4 or Hf(NO3)4. In some embodiments, the catalyst may be a compound comprising Boron.


In some embodiments the catalyst may preferentially deposit on the dielectric surface, for example a functionalized dielectric surface, relative to a passivated metal surface. In some embodiments the catalyst preferentially deposits on a dielectric surface relative to a passivated metal surface. In some embodiments the passivation agent on the metal surface inhibits or prevents deposition of catalyst on the metal surface. In some embodiments a single exposure to the passivation agent may prevent deposition of catalyst on the metal surface for 1, 2, 5, 10, 20, 30, 40 or 50 or more cycles in which the substrate is contacted with the catalyst. In some embodiments the metal surface is not passivated and the catalyst selectively deposits on the dielectric surface in the absence of a passivating material on the metal surface. For example, the catalyst may selectively deposit on a functionalized dielectric surface relative to a metal surface. In some embodiments a catalyst is not utilized.


After deposition of the catalyst on the dielectric surface, if utilized, a silicon oxide layer is selectively deposited on the catalyzed dielectric surface relative to the passivated metal surface. For example, the substrate may be exposed to a silicon precursor, such as a silanol. In some embodiments the substrate is exposed to the silicon precursor alone, while in some embodiments the substrate is exposed to the silicon precursor and an oxygen precursor, such as H2O. The silicon precursor may react with the surface comprising the catalyst to form silicon oxide. For example, the substrate may be contacted with a silicon reactant comprising a silanol such that the silanol decomposes at the catalyst atoms on the dielectric surface, resulting in the selective growth of silicon oxide on the dielectric surface relative to the metal surface.


In some embodiments the substrate is alternately and sequentially contacted with the dielectric passivation agent, the metal passivation agent, the catalyst and the silanol reactant in one or more deposition cycles. This deposition cycle may be repeated multiple times to selectively deposit a silicon oxide film of a desired thickness on the dielectric surface relative to the metal surface.


With reference to FIG. 1, in some embodiments in a complete deposition cycle 100 a substrate comprising a dielectric surface and a metal surface is initially contacted with a first passivation agent 110. The first passivation agent may be, for example, a silylating agent such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA). The first passivation agent may passivate or functionalize the dielectric surface. Excess first passivation agent may be removed from the substrate surface. In some embodiments the first passivation agent is provided 110 only once in the deposition process, for example only in the first deposition cycle 100. In some embodiments step 110 is omitted and the first passivation agent is not used.


Next, the metal surface is passivated 120 by exposure to one or more second passivation agents, leading to selective formation of a polymer layer on the metal surface. In some embodiments a self-assembled monolayer (SAM) is selectively formed on the metal layer, for example from a vapor-phase dodecanethiol precursor. In some embodiments a polyimide layer is selectively deposited on the metal surface. In some embodiments the metal surface is only passivated 120 in one deposition cycle 100, for example only in the first deposition cycle 100.


A silicon oxide deposition sub-cycle 130 is carried out in which the substrate is contacted with the catalyst 140 and a silicon precursor 140, such as a silanol. As mentioned above, in some embodiments the substrate is contacted with an oxygen reactant such as H2O in addition to the silicon reactant. Excess catalyst and silanol may be removed from the substrate surface after each contacting step 140 and 150. The sub-cycle may be repeated 160 multiple times in a single deposition cycle 100. In some embodiments one, two, three, or more silicon oxide deposition sub-cycles in which the substrate is alternately and sequentially contacted with the catalyst 140 and the silanol reactant 150 are carried out in each deposition cycle 100. That is, for each time that the substrate is contacted with the first passivation agent 110 and/or the metal surface is passivated 120, multiple silicon oxide deposition sub-cycles 130 may be carried out. In some embodiments the silicon oxide deposition sub-cycle 130 is repeated up to fifty times prior to commencing another deposition cycle 100 by contacting the substrate with the first passivation agent.


In some embodiments the polymer passivation layer on the metal surface is removed 170 prior to beginning a new deposition cycle 100. The polymer passivation layer may be removed, for example, by etching, such as by plasma etching. In some embodiments the polymer passivation layer is not removed in every deposition cycle 100 but is only removed in one or more deposition cycles, such as in the last deposition cycle.


The deposition cycle 100 may be repeated until a silicon oxide film of a desired thickness has been selectively formed on the metal surface.


In some embodiments the dielectric surface on which the silicon oxide is selectively deposited is at least partially adjacent to the metal or metallic surface that is selectively passivated. For example, at least one portion of a dielectric surface may be adjacent to a metal or metal oxide surface.


In some embodiments, as discussed above prior to forming the polymer passivation layer on the metal surface, such as a copper surface, the dielectric surface can be provided with a passivation layer, such as by exposure to a silylating agent. The passivation layer on the dielectric surface may facilitate selectivity for the subsequent passivation of the metal surface by a polymer. In addition, the passivation layer on the dielectric can serve to functionalize the surface such that the catalyst is able to chemisorb on the dielectric surface. In some embodiments the passivation layer on the dielectric surface can be activated, such as by exposure to a plasma reactant, for example H2 plasma. In some embodiments the activation step may be carried out after selective passivation of the metal layer.


Examples of suitable reactors that may be used in the selective deposition processes described herein include commercially available atomic layer deposition (ALD) equipment. In addition to ALD reactors, many other kinds of reactors capable of growth of polymer passivation layers, including chemical vapor deposition (CVD) reactors, vapor deposition polymerization (VDP) reactors, and molecular layer deposition (MLD) reactors, can be employed.


Substrate Surfaces


According to some aspects of the present disclosure, selective deposition can be used to deposit films of interest, such as silicon oxide films, on a dielectric surface preferentially relative to a metal or metallic surface. Such a substrate is illustrated schematically in FIG. 2A. In some embodiments the two surfaces are at least partially adjacent to each other on the substrate, for example as schematically illustrated.


In some embodiments selective passivation of the dielectric surface, such as selective silylation of the oxide surface, relative to the metal or metallic surface, followed by selective passivation of the metal or metallic surface such as by formation of a polymer layer, can facilitate subsequent selective deposition of a metal catalyst on the dielectric surface followed by selective deposition of a silicon oxide layer on the dielectric surface relative to the passivated metal surface. The polymer passivation layer may be subsequently removed from the metal layer.


In some embodiments, one of the surfaces can be a conductive metal or metallic surface of a substrate, while the other dielectric surface can be a non-conductive oxide surface of the substrate. In some embodiments, the non-conductive dielectric surface comprises —OH groups, such as a silicon oxide-based surface (e.g., low-k materials, including grown and deposited silicon-oxide materials and native oxide over silicon). The dielectric surface can be selectively passivated relative to the metal or metallic surface, such as by exposure to a silylating agent. The surface can subsequently be activated, if necessary, to facilitate selective chemisorption of a metal catalyst on the dielectric layer and subsequently silicon oxide can be selectively deposited on the dielectric surface relative to the metal surface.


The material differences between the two substrate surfaces are such that vapor deposition methods can selectively passivate the oxide surface relative to the metal or metallic surface to activate it for catalyzed silicon oxide deposition and also passivate the metal or metal surface to discourage or prevent silicon oxide formation thereon.


In some embodiments, cyclical vapor deposition is used, for example, cyclical CVD or atomic layer deposition (ALD) processes.


In some embodiments, selectivity for the passivation layer on the dielectric surface, such as by silylation, can be achieved without prior passivation agents on the metal or metallic surface, and/or without catalytic agents on the surface of the dielectric layer to receive more of the passivation layer. For example, in embodiments where a first surface is an oxide and a second surface is a metal, the oxide layer can be selectively silylated relative to the metal or metallic surface without pretreatment of the oxide surface or pretreatment of the metal or metallic surface.


In some embodiments, the metal or metallic surface is selectively passivated to inhibit silicon oxide deposition on that surface. For example, a polymer layer can be formed over a metal or metallic surface relative to a dielectric surface, facilitating subsequent selective deposition of silicon oxide on the dielectric surface relative to the polymer-covered metallic surface. In some embodiments the polymer layer can be a self-assembled monolayer (SAM). In some embodiments the polymer layer can be a polyimide layer. After selective deposition of the polymer passivation layer is completed, selective deposition of materials of interest, such as the catalyst and/or the silicon oxide, can be conducted on the dielectric surface relative to the passivated metal surface. The passivation layer can be removed after selective deposition of the silicon oxide on the dielectric surface.


As used herein, unless otherwise specified, if a surface is referred to as a metal surface herein, it may be a metal surface or a metallic surface. In some embodiments, the metal or metallic surface may comprise surface oxidation. In some embodiments, the material of the metal surface is electrically conductive with or without surface oxidation. In some embodiments, a metal surface comprises one or more transition metals. In some embodiments, a metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, or Mo. In some embodiments a metal surface comprises Cu. In some embodiments a metal surface is a copper surface. In some embodiments, a metallic surface comprises titanium nitride. In some embodiments, the metal surface comprises one or more noble metals, such as Ru. In some embodiments, the metal surface comprises a metal oxide, such as a conductive metal oxide, metal nitride, metal carbide, metal boride, or combination thereof. For example, the metal or metallic surface may comprise one or more of RuOx, NbCx, NbBx, NiOx, CoOx, NbOx, MoOx, WOx, WNCx, TaN, or TiN.


Selective Deposition of a Passivation Layer on a Dielectric Surface Relative to a Metal Surface


In some embodiments the oxide (or other dielectric) surface may be passivated such as by silylation. In some embodiments, the passivation is selective for the oxide surface relative to another surface, such as a metal or metallic surface on the same substrate (see, e.g., FIG. 2B). In some embodiments the dielectric surface is passivated by silylation prior to formation of a polymer passivation layer, such as a polyimide passivation layer, on the metal surface. In some embodiments the dielectric surface is not passivated prior to formation of a polymer passivation layer on the metal surface.


In some embodiments the treatment of dielectric layer is a dielectric restoration step. Different kinds of silicon containing material restoration steps can be performed before the selective deposition of the polymer passivation layer on the metal surface and before deposition of silicon oxide on the dielectric surface, and after the surface has been cleaned (if carried out).


In some embodiments the oxide surface is silylated by exposure to a vapor phase silylating agent one or more times. For example, in a passivation step a silylating agent may be conducted in the reaction space and contacted with the substrate surface. The silylating agent may be, for example, a chlorosilane, alkoxysilane, silylhalide, silylcyanate, silylazide, silylisocyanate, silylisothiocyanate, silylsulfonate, silylacetamide, silylcarbodiimide, allysilane, or nitrogen-bearing silane such as a silazane, imidazole or amine. In some embodiments the silylating agent is allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA) and silylation comprises exposing the substrate to one or more pulses of the silylating agent. In some embodiments both the metal or metallic surface and the oxide surface are contacted with the silylating agent, such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA). In some embodiments the oxide surface of a substrate is selectively silylated relative to a metal or metallic surface of the substrate.


In some embodiments the silylating agent is an alkylaminosilane. For example, the oxide surface of the substrate may be contacted with an alkylaminosilane having the formula (RI)3Si(NRIIRIII), wherein RI is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group, RII is a linear or branched C1-C5 alkyl group, a linear or branched C1-C4 alkyl group, or hydrogen, and RIII is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group. In some embodiments the silylating agent is trimethyl(dimethylamino)silane, trimethyl(diethylamino)silane or trimethyl(ethylaminosilane).


In some embodiments the silylating agent is a silane. For example, the dielectric surface may be contacted with a silane having the general formula (RI)3SiA, wherein RI is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group, and A is any ligand which is reactive with a silicon containing surface. In some embodiments the silane bonds to the surface through ligand A, or ligand A forms a bond to the surface but then ligand A may migrate away from the surface and/or silane.


The silylating agent may be provided to the reaction chamber holding the substrate in a single pulse or in a sequence of multiple pulses. In some embodiments the silylating agent is provided in a single long pulse or in multiple shorter pulses. The pulses may be provided sequentially. In some embodiments the silylating agent is provided in 1 to 25 pulses of from about 0.1 to about 60 seconds. In some embodiments the silylating agent is provided in a single pulse of about 0.1 to about 60 seconds, about 1 to 30 seconds or about 25 seconds. In between pulses, the silylating agent may be removed from the reaction space. For example, the reaction chamber may be evacuated and/or purged with an inert gas. The purge may be, for example for about 1 to 30 seconds or more. Purging the reaction chamber means that vapor phase passivation agent and/or vapor phase byproducts, if any, are removed from the reaction chamber such as by, evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reactor with an inert gas such as argon or nitrogen. In some embodiments the substrate is moved from a reaction space comprising the passivation agent.


In some embodiments silylation is accomplished through the reaction of a silicon compound, for instance Cl—Si(CH3)3, with an Si—OH terminated surface of a silicon containing dielectric surface: Si-OH+Cl-Si(CH3)3→Si—O—Si(CH3)3+HCl. Thus, in some embodiments an appropriate surface termination is formed prior to providing the silicon compound. Also the use of silicon compounds with longer carbon containing ligands is possible


In some embodiments a silicon containing surface is treated by contacting the silicon containing surface with one or more silanes, such as silane, disilane or trisilane. In some embodiments the silane has the chemical formula SinH2n+2 (n is an integer equal to or greater than 1), or the cyclic silane family and having the chemical formula SinH2n (n is an integer equal to or greater than 3). In some embodiments the silane is disilane Si2H6 or trisilane Si3H8. In some embodiments the silane has the formula: SiHxLy, where L is a ligand selected from the groups including: alkyl, alkenyl, alkynyl, alkoxide, and amine. In some cases, L is a ligand selected from the halide group: F, Cl, Br and I.


In some embodiments the silicon containing surface is treated with trimethylchlorosilane (CH3)3SiCl (TMCS) or with other type of alkylhalosilanes having formula R3-xSiXx, wherein x is an integer from 1 to 3 and each R can independently be selected to be C1-C5 hydrocarbon, such as methyl, ethyl, propyl or butyl, preferably methyl, and X is halide, preferably chloride. U.S. Pat. No. 6,391,785 discloses various surface modifications and treatments and is incorporated herein in its entirety. In some embodiments any of the surface modifications or treatments disclosed in U.S. Pat. No. 6,391,785 can be used in the methods disclosed herein.


In some embodiments, the temperature of the silylation process may be, for example, from about 50° C. to about 500° C., or about 100° C. to about 300° C.


The pressure during the silylation process may be, for example, from about 10−5 to about 760 Torr, or in some embodiments from about 1 to about 10 Torr or about 0.1 to about 10 Torr.


In some embodiments the silylated surface is subsequently exposed to a plasma to activate the surface for subsequent chemisorption of the catalyst. In some embodiments the plasma is H2 plasma. The plasma treatment may be carried out prior to or after the formation of a polymer passivation layer on the metal surface.


In some embodiments, the silylation process and/or plasma treatment may be carried out in situ, that is in the same reaction chamber as other parts of the deposition process, for example selective deposition of an aluminum catalyst on the dielectric surface relative to the metal surface and/or the subsequent selective deposition of silicon oxide on the dielectric surface relative to the metal surface. However, in some embodiments the silylation and/or plasma treatment may be carried out in a separate reaction chamber from one or more other processing steps. In some embodiments the reaction chamber in which the silylation is carried out is part of a cluster tool, including one or more additional reaction chambers. For example, such a cluster tool may include additional reaction chambers for the plasma treatment of the silylated dielectric surface, for formation of a polymer passivation layer on the metal surface, for the deposition of the aluminum catalyst on the dielectric surface, for the deposition of silicon oxide on the dielectric surface, and/or for etching one or more layers. In some embodiments a cluster tool includes separate modules for pretreatment, silylation of the dielectric surface, formation of a polymer passivation layer on the metal surface, selective deposition of aluminum catalyst, selective deposition of silicon oxide and subsequent post-deposition treatment, such as etching to remove the polymer passivation layer from the metal surface. In some embodiments the same module can be used for two or more processes.


In some embodiments, the substrate may be pretreated or cleaned prior to or at the beginning of the deposition process or prior to or after one or more of the steps in the selective deposition processes. In some embodiments, the substrate may be subjected to a plasma cleaning process prior to or at the beginning of the deposition process. In some embodiments, a plasma cleaning process may not include ion bombardment, or may include relatively small amounts of ion bombardment. In some embodiments the substrate surfaces may be exposed to plasma, radicals, excited species, and/or atomic species prior to or at the beginning of the passivation process, and/or the selective metal oxide deposition process. In some embodiments, the substrate surface may be exposed to hydrogen plasma, radicals, or atomic species prior to or at the beginning of the deposition process.


In some embodiments the dielectric surface is not passivated prior to selectively depositing the catalyst on the dielectric surface relative to the metal surface.


Selective Deposition of a Polymer Passivation Layer on the Metal Surface Relative to the Dielectric Surface


A polymer passivation layer can facilitate selective formation of silicon oxide on a dielectric surface relative to a metal surface comprising the polymer passivation layer. In some embodiments the polymer passivation layer may comprise, for example, a self-assembled monolayer (SAM), a polyimide layer or a different polymer, such as a polyester or polyamide like nylon. The polymer passivation layer can serve to inhibit chemisorption of the catalyst and subsequent silicon oxide deposition on a metal or metallic surface, thus facilitating selective deposition of silicon oxide on dielectric surfaces. The term “passivation” is a label and need not imply 100% deactivation of the silicon oxide layer deposition on the metal surface. As noted elsewhere herein, even imperfect selectivity can suffice to obtain a fully selective structure after an etch back process.


In some embodiments, the selectively deposited polymer is a polyimide. In some embodiments, the polymer deposited is a polyamide. Other examples of deposited polymers include dimers, trimers, polyurea layers, polythiophene polyurethanes, polythioureas, polyesters, polyimines, other polymeric forms or mixtures of the above materials. Vapor deposited organic materials include polyamic acid, which may be a precursor to polymer formation. The selectively deposited layer can be a mixture including polymer and polyamic acid, which for purposes of the present disclosure will be considered to be a polymer.


A polymer passivation layer can be selectively deposited on a metal or metallic surface of a substrate relative to the dielectric surface of the substrate, as illustrated in FIG. 2C. This surface comprising the polymer may be referred to as the passivated metal layer.


The polymer passivation layer may be deposited by a variety of methods, including vapor deposition methods such as chemical vapor deposition (CVD), atomic layer deposition (ALD) and molecular layer deposition (MLD) and liquid phase deposition methods. Some exemplary methods for selectively depositing polymer layers are disclosed in Atomic Layer Deposition of Polyimide Thin Films (Putkonen et al. J. Mater. Chem. 2007. 17:664-669) and Organic and Inorganic-Organic Thin Film Structures by Molecular Layer Deposition: A Review (Sundberg and Karppinen. Beilstein J. Nanotechnol. 2014. 5:1104-1136), the entire disclosures of which are incorporated herein by reference in their entirety for all purposes. Further information and examples of selective deposition of polymer layers to serve as the polymer passivation layer are provided below.


Various reactants can be used to form a polymer passivation layer by vapor deposition, such as by ALD or CVD.


In some embodiments the substrate is contacted with a first reactant and a second reactant. In some embodiments the reactants are provided sequentially. However, in some embodiments the substrate may be contacted with the second reactant prior to being contacted with the first reactant.


In some embodiments, the reactants do not contain metal atoms. In some embodiments, the reactants do not contain semimetal atoms. In some embodiments, one of the reactants comprises metal or semimetal atoms. In some embodiments, the reactants contain carbon and hydrogen and one or more of the following elements: N, O, S, P or a halide, such as Cl or F. In some embodiments, the first reactant may comprise, for example, adipoyl chloride (AC).


Deposition conditions can differ depending upon the selected reactants and can be optimized upon selection. In some embodiments, the reaction temperature can be selected from the range of about 80° C. to about 250° C. In some embodiments, the reaction chamber pressure may be from about 1 mTorr to about 1000 Torr. In some embodiments, for example where the selectively deposited organic layer comprises polyamide, the reaction temperature can be selected from a range of about 80° C. to about 150° C. In some embodiments where the selectively deposited organic layer comprises polyamide, the reaction temperature may be greater than about 80° C., 90° C., 100° C., 110° C., 120° C., 130° C., 140° C., or 150° C. In some embodiments where the selectively deposited organic layer comprises polyimide, the reaction temperature may be greater than about 160° C., 180° C., 190° C., 200° C., or 210° C.


For example, for sequential deposition of polyimide, in some embodiments substrate temperatures can be selected from the range of about 150° C. to about 250° C., or from about 170° C. to about 210° C., and pressures can be selected from the range of about 1 mTorr to about 760 Torr, more particularly between about 100 mTorr to about 100 Torr.


In some embodiments, reactants for use in the polymer passivation layer selective deposition processes described herein may have the general formula:

R1(NH2)2  (1)


wherein R1 may be an aliphatic carbon chain comprising 1-5 carbon atoms, 2-5 carbon atoms, 2-4 carbon atoms, 5 or fewer carbon atoms, 4 or fewer carbon atoms, 3 or fewer carbon atoms, or 2 carbon atoms. In some embodiments, the bonds between carbon atoms in the reactant or precursor may be single bonds, double bonds, triple bonds, or some combination thereof. Thus, in some embodiments a reactant may comprise two amino groups. In some embodiments, the amino groups of a reactant may occupy one or both terminal positions on an aliphatic carbon chain. However, in some embodiments the amino groups of a reactant may not occupy either terminal position on an aliphatic carbon chain. In some embodiments, a reactant may comprise a diamine. In some embodiments, a reactant may comprise an organic precursor selected from the group of 1,2-diaminoethane (l), 1,3-diaminopropane (l), 1,4-diaminobutane(l), 1,5-diaminopentane (l), 1,2-diaminopropane (l), 2,3-butanediamine, 2,2-dimethyl-1,3-propanediamine (l).


In some embodiments, reactants for use in the selective deposition processes described herein may have the general formula:

R2(COCl)2  (2)


wherein R2 may be an aliphatic carbon chain comprising 1-3 carbon atoms, 2-3 carbon atoms, or 3 or fewer carbon atoms. In some embodiments, the bonds between carbon atoms in the reactant or precursor may be single bonds, double bonds, triple bonds, or some combination thereof. In some embodiments, a reactant may comprise a chloride. In some embodiments, a reactant may comprise a diacyl chloride. In some embodiments, a reactant may comprise an organic precursor selected from the group of oxalyl chloride (I), malonyl chloride, and fumaryl chloride.


In some embodiments, a reactant comprises an organic precursor selected from the group of 1,4-diisocyanatobutane or 1,4-diisocyanatobenzene. In some embodiments, a reactant comprises an organic precursor selected from the group of terephthaloyl dichloride, alkyldioyl dichlorides, such as hexanedioyl dichloride, octanedioyl dichloride, nonanedioyl dichloride, decanedioyl dichloride, or terephthaloyl dichloride. In some embodiments, a reactant comprises an organic precursor selected from the group of 1,4-diisothiocyanatobenzene or terephthalaldehyde. In some embodiments, a reactant being vaporized can also be a diamine, such as 1,4-diaminobenzene, decane-1,10-diamine, 4-nitrobenzene-1,3-diamine, 4,4′-oxydianiline, or ethylene diamine. In some embodiments, a reactant can be a terephthalic acid bis(2-hydroxyethyl) ester. In some embodiments, a reactant can be a carboxylic acid, for example alkyl-, alkenyl-, alkadienyl-dicarboxylic or tricarboxylic acid, such as ethanedioic acid, propanedioic acid, butanedioic acid, pentanedioic acid or propane-1,2,3-tricarboxylic acid. In some embodiments, a reactant can be an aromatic carboxylic or dicarboxylic acid, such as benzoic acid, benzene-1,2-dicarboxylic acid, benzene-1,4-dicarboxylic acid or benzene-1,3-dicarboxylic acid. In some embodiments, a reactant may comprise one or more OH-groups bonded to a hydrocarbon. In some embodiments, a reactant can be selected from the group of diols, triols, aminophenols such as 4-aminophenol, benzene-1,4-diol or benzene-1,3,5-triol. In some embodiments, a reactant can be 8-quinolinol. In some embodiments, the reactant can comprise alkenylchlorosilanes, like alkenyltrichlorosilanes, such as 7-octenyltrichlorosilane.


In some embodiments, a reactant may have a vapor pressure greater than about 0.5 Torr, 0.1 Torr, 0.2 Torr, 0.5 Torr, 1 Torr or greater at a temperature of about 20° C. or room temperature. In some embodiments, a reactant may have a boiling point less than about 400° C., less than about 300° C., less than about 250° C., less than about 200° C., less than about 175° C., less than about 150° C., or less than about 100° C.


In some embodiments, the polymer passivation layer on the metal surface comprises a self-assembled monolayer (SAM). For example, a SAM can be selectively formed over the metal surface without forming on the dielectric surface. In some embodiments the SAM is a thiol, or sulfur-containing SAM. In some embodiments, the metal surface may be pretreated with acid treatments prior to SAM formation.


In some embodiments a SAM passivation layer may be selectively formed on the metal surface by contacting the substrate with a sulfur-containing monomer, such as 1-dodecanethiol (CH3(CH2)11SH), which can be referred to as a thiol SAM precursor or monomer, or with another SAM monomer such as trichloro(octadecyl)silane.


As noted above, any material deposited on the dielectric surface can be removed by an etch back process. In some embodiments, an etch process subsequent to selective deposition of the silicon oxide may remove deposited organic material from both the first surface and the second surface of the substrate. In some embodiments the etch process may be isotropic.


In some embodiments, the etch process may remove the same amount, or thickness, of material from the metal and dielectric surfaces. That is, in some embodiments the etch rate of the organic material deposited on the first surface may be substantially similar to the etch rate of the organic material deposited on the second surface. Due to the selective nature of the deposition processes, the amount of organic material deposited on the dielectric surface of the substrate may be substantially less than the amount of material deposited on the metal surface of the substrate. Therefore, an etch process may completely remove deposited organic material from the dielectric surface of the substrate while deposited organic material may remain on the metal surface of the substrate.


In some embodiments polymer passivation of the metal surface is not necessary and the catalyst is selectively deposited on the dielectric surface relative to the metal surface, where the metal surface has not been passivated.


Selective Deposition of a Catalyst on Dielectric Surfaces Relative to Metal Surfaces


A catalyst for the subsequent deposition of silicon oxide can be selectively deposited on the dielectric surface of a substrate relative to the metal surface of the substrate. This surface comprising the catalyst may be referred to as the catalyzed dielectric surface. In some embodiments passivation of the dielectric surface is not necessary and the catalyst is selectively deposited on the dielectric surface relative to the metal surface, where the dielectric surface has not been passivated. In some embodiments passivation of the metal surface is not necessary and the catalyst is selectively deposited on the dielectric surface relative to the metal surface, where the metal surface has not been passivated. However, in some embodiments the selective deposition of the catalyst is facilitated or improved by the passivation of the dielectric surface as described above and/or the passivation of the metal surface as described above. Thus, in some embodiments, the catalyst is selectively deposited on a passivated dielectric surface relative to a passivated metal surface. In some embodiments a catalyst is not utilized. For example, in some embodiments the substrate surface may itself catalyze silicon oxide deposition without the need for a further catalyst.


As shown in FIG. 2D, in some embodiments an aluminum catalyst 250 is selectively deposited on the dielectric surface 220 relative to a metal surface 210. In some embodiments the aluminum catalyst 250 is selectively deposited on a dielectric surface 220 that has been passivated with a silylating compound as described herein relative to a metal surface 210 that has been passivated with a polymer layer 240 as described herein.


After optionally selectively forming the passivation layers on the dielectric and metal surfaces, in some embodiments a catalyst is selectively deposited on the dielectric surface by contacting the substrate with a catalyst compound. The catalyst forms up to a molecular layer of catalytic sites on the dielectric surface of the substrate. The catalyst compound preferably catalyzes the formation of silicon oxide from a vapor phase silanol reactant. Briefly, the substrate is exposed to silanol, such as TPS, and a silicon oxide film, such as silicon dioxide film, for example SiO2 film is formed over the dielectric surface, as illustrated in FIG. 2E. The silicon oxide film 260 typically comprises multiple molecular layers. The cycle of exposure to the catalyst and the silanol can be repeated, if necessary, to deposit a silicon dioxide film of a desired thickness. In some embodiments, the concentration of the silanol can be controlled to achieve a desired deposition rate.


In some embodiments the catalyst is a metal catalyst. The catalyst may be, for example, a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga. In some embodiments the catalyst is a metal halide, organometallic or metalorganic compound. In some embodiments, the catalyst is a compound comprising boron.


In some embodiments the catalyst is an alkylaluminium, alkylboron or alkylzinc compound that is able to react with the hydrophobic surface. For example, the catalyst may comprise trimethyl aluminum (TMA), triethylboron (TEB), or diethyl zinc.


In some embodiments the catalyst comprises a compound having the formula MRxA3-x, wherein x is an integer from 1 to 3, R is a C1-C5 alkyl ligand, M is B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga and A is a halide, alkylamine, amino, silyl or derivative thereof. In some embodiments the R is a C1-C3 alkyl ligand. In some embodiment the R is a methyl or ethyl group. In some embodiments the M is boron. In some embodiments the catalyst is ZnRxA2-x, wherein x is an integer from 1 to 2, R is a C1-C5 alkyl ligand, and A is a halide, alkylamine, amino, silyl or derivative thereof. In some such embodiments the R is a C1-C3 alkyl ligand. In some embodiment the R is a methyl or ethyl group.


In some embodiments the catalyst is an aluminum catalyst. Examples of Al compounds that can be used include trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA). In some embodiments the aluminum catalyst comprises is a heteroleptic aluminum compound. In some embodiments the heteroleptic aluminum compound comprises an alkyl group and another ligand, such as a halide, for example Cl. In some embodiments the aluminum catalyst comprises dimethylaluminumchloride. In some embodiments the aluminum catalyst comprises an alkyl precursor comprising two different alkyl groups as ligands. In some embodiments the aluminum compound is an aluminum isopropoxide. In some embodiments the aluminum catalyst comprises a metalorganic compound. In some embodiments the aluminum catalyst comprises an organometallic compound. In some embodiments the aluminum catalyst is an aluminum compound such as trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).


In some embodiments the catalyst is a zirconium compound, such as Zr-DO4. In some embodiments the catalyst is tetrakis(ethylmethylamino)zirconium (TEMAZ). In some embodiments the catalyst is ZrCl4.


In some embodiments the catalyst is a lanthanum compound, such as tris(isopropyl-cyclopentadienyl)lanthanum (LA(iPrCp)3).


In some embodiments the catalyst is a titanium compound, such as titanium isopropoxide (TTIP) or TiCl4.


In some embodiments the catalyst is a gallium compound, such as trimethylgallium (TMG).


In some embodiments the catalyst is a hafnium compound, such as HfCl4 or Hf(NO3)4.


The catalyst may be provided to the reaction chamber holding the substrate in a single pulse or in a sequence of multiple pulses. In some embodiments the catalyst is provided in a single long pulse or in multiple shorter pulses. The pulses may be provided sequentially. In some embodiments the catalyst is provided in 1 to 25 pulses of from about 0.1 to about 60 seconds. In some embodiments the catalyst is provided in a single pulse of about 0.1 to about 60 seconds, about 1 to 30 seconds or about 25 seconds. In between pulses, excess catalyst may be removed from the reaction space. For example, the reaction chamber may be evacuated and/or purged with an inert gas. The purge may be, for example for about 1 to 30 seconds or more. Purging means that vapor phase catalyst and/or vapor phase byproducts, if any, are removed from the reaction chamber such as by evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reaction chamber with an inert gas. In some embodiments vapor phase catalyst is removed from the substrate surface by moving the substrate from the reaction space comprising the vapor phase catalyst.


In some embodiments, the temperature of the selective catalyst deposition may be, for example, from about 50° C. to about 500° C., or about 100° C. to about 300° C. In some embodiments, the deposition temperature is between about 50° C. and about 400° C. In some embodiments the deposition temperature is greater than about 100° C. and the catalytic chemical is an alkylaluminum compound, such as TMA. In some embodiments, the alkylaluminum compound is dimethylaluminum isopropoxide (DMAI), and the deposition temperature is from about 100° C. to about 400° C., from about 100 to about 200, from about about 200° C. to about 400° C., or from about 250° C. to about 350° C. In some embodiments, the alkylaluminum compound is dimethylaluminum isopropoxide (DMAI), and the deposition temperature is about 150° C. In some embodiments, the alkylaluminum compound is dimethylaluminum isopropoxide (DMAI), and the deposition temperature is about 300° C. In some embodiments the catalytic chemical is an alkylboron compound, such as TEB, and the deposition temperature is between about 50° C. and about 400° C., between about 100° C. and about 350° C., or between about 100° C. and about 300° C. In some embodiments the catalytic chemical is an alkylboron compound and the temperature is greater than about 100° C. In some embodiments the deposition temperature is greater than about 300° C. and the catalytic chemical is TEB. The temperature of the selective catalyst deposition may be selected according to the passivation layer used to allow the use of single deposition temperature.


In some embodiments the catalyst comprises a metal compound that is selectively deposited by contacting the substrate with a metal precursor and an oxygen reactant. In some embodiments the catalyst comprises a metal oxide. In some embodiments the metal compound is selectively deposited by an ALD process. In some embodiments the substrate is simultaneously or sequentially contacted with a first metal precursor and a second reactant comprising oxygen in one, two or more deposition cycles. In some embodiments the deposition process comprises a plurality of deposition cycles in which the substrate is alternately and sequentially contacted with the first metal precursor and the second reactant.


In some embodiments the first metal precursor is a hydrophobic Lewis acid. The hydrophobic metal reactant may comprise at least one hydrophobic hydrocarbon ligand, such as alkyl, alkenyl, cyclic C3-C8 or aromatic groups. In some embodiments the first metal precursor may be bis(methylcyclopentadienyl)methoxymethyl zirconium.


In some embodiments the first metal precursor comprises a transition metal. In some embodiments the first precursor does not comprise a noble metal, such as Ru.


In some embodiments the first metal precursor may comprise at least one alkyl ligand, such as a C1-C4 alkyl ligand. In some embodiments the first metal precursor may comprise an organometallic or metalorganic compound. In some embodiments the first metal precursor may comprise at least one cyclopentadienyl (Cp) ligand. In some embodiments the first metal precursor may comprise a formamidinate or an amidinate compound. In some embodiments the first metal precursor may comprise a beta-diketonate compound. In some embodiments the first metal precursor may comprise an alkylamino compound, such as a dialkylamino compound. In some embodiments the first metal precursor may comprise an alkylamino ligand, such as —NMe2, —NEt2 or —NEtMe.


In some embodiments the first metal precursor may comprise magnesium. In some embodiments the first metal precursor may be an organometallic or a metalorganic compound comprising magnesium. For example, in some embodiments the first metal precursor may comprise Mg(Cp)2 or a derivative thereof.


In some embodiments the first metal precursor may comprise lanthanum. In some embodiments the first metal precursor may be an organometallic compound comprising lanthanum. In some embodiments the first metal precursor may comprise lanthanum formamidinate (La(FAMD)3).


In some embodiments the first metal precursor may comprise hafnium. In some embodiments the first metal precursor may comprise an organometallic compound comprising hafnium. For example, in some embodiments the first metal precursor may comprise alkylamino hafnium compound, such as Tetrakis(ethylmethylamino)hafnium (TEMAH, Hf(NEtMe)4) or a derivative thereof.


In some embodiments, the first metal precursor has the following formula:

MgL2  (I)


wherein Mg is magnesium, and wherein each L can be independently selected to be a hydrocarbon group. In some embodiments each L can be linear, branched, cyclic alkyl or unsaturated hydrocarbon group, such as alkenyl, alkynyl, aromatic, cyclopentadienyl, phenyl, cyclooctadienyl, or cycloheptatrienyl group. In some embodiments one or both L can be a cyclopentadienyl group. In some embodiments, one or both L can be a bidentate ligand, such as beta-diketonate, guanidinate or amidinate. In some embodiments, the beta-diketonate ligand can be acetylacetonate or 2,2,6,6-tetramethyl-3,5-heptanedionato (THD).


In some embodiments, the first metal precursor is a cyclopentadienyl compound or derivate thereof, such as alkyl-substituted cyclopentadienyl compound and have the following formula:

Mg(R1R2R3R4R5Cp)2  (II)


wherein each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected to be hydrogen or a substituted or unsubstituted alkyl group. In some embodiments each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected to be hydrogen or a linear or branched C1-C5 alkyl group. In some embodiments each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected to be hydrogen or a C1-C3 alkyl group, such as methyl, ethyl, n-propyl or i-propyl group. In some embodiments the first precursor is Mg(Cp)2.


In some embodiments, the first metal precursor comprises one or more ligands, such as cyclopentadienyl (“Cp”) ligands. These first precursor compounds can be selected from a group consisting of the following compounds:

(Cp)xLa  (III);
(Cp)xLyLa  (IV);
(Cp)xWnLa  (V);
(CP)xLyWnLa  (VI);

    • La is lanthanum, Cp is a cyclopentadienyl or a cyclooctadienyl group, so that Cp groups in chemical formulas I-IV can be the same as each other or different from one other; x denotes the number of the Cp ligands and it is an integer from 1 up to the oxidation state of La; it should be noted that cyclooctadiene is usually shortened as Cod, but here the presentation is simplified by the use of the single common abbreviation Cp for both cyclopentadienyl and cyclooctadienyl;
    • Ly is a neutral adduct ligand that bounds from one or more of its atoms to the metal and wherein y denotes the number of the bound ligands; and
    • W is some other ligand with a valence of one less than Cp and where n denotes the number of ligands. In some embodiments W is amidinate or formamidinate. In some embodiments W is a beta-diketonate or its corresponding sulfur or nitrogen compound, halide, amide, alkoxide, carboxylate or Schiff's base.


In the chemical equations I-IV, the cyclopentadienyl and/or cyclooctadienyl groups can be in the same molecule, so that there is a bridge between two Cp-groups consisting of a substituted or unsubstituted C1-C6 chain that may contain a heteroatom selected from Si, N, P, Se, S or B.


In some embodiments L is an independently selected:

    • (i) a hydrocarbon,
    • (ii) a hydrocarbon that contains oxygen,
    • (iii) a hydrocarbon that contains nitrogen,
    • (iv) a hydrocarbon that contains sulfur,
    • (v) a hydrocarbon that contains phosphor,
    • (vi) a hydrocarbon that contains arsenic,
    • (vii) a hydrocarbon that contains selenium and/or
    • (viii) a hydrocarbon that contains tellurium


In some embodiments L is and independently selected:

    • (a) amine or polyamine,
    • (b) bipyridine,
    • (c) a ligand according to a chemical diagram:




embedded image




    • wherein G is —O—, —S—, or —NR1, where R1 is an independently selected hydrogen or substituted or unsubstituted, cyclic, linear or branched, alkyl, alkenyl, aryl, alkylaryl, arylalkyl, alkoxy, thio, cyano or silyl group. A cyclic or aromatic ring in R1 may contain a heteroatom. Hydrogen or a R1-type substituent may also be attached to the carbon atoms in chemical equation V, or

    • (d) ether or thioether.





Cyclopentadienyl or cyclooctadienyl groups, Cp in chemical formulas I-IV have the form:

Cp′RmHa-m  (VII)

    • wherein m is an integer from 0-8, when a is 8 and m is an integer from 0-5 when a is 5,
    • Cp′ is fused or isolated cyclopentadienyl or cyclooctadienyl, and
    • R is an independently selected hydrocarbon fragment containing 1-6 carbon atoms, such as a C1-C6 hydrocarbon.


In some embodiments each R ligand can be the same as each other R ligand, or each R ligand may different from one another. That is, each R ligand can be independently selected. In some embodiments R can be a substituted or unsubstituted, cyclic, linear or branched, alkyl alkenyl, aryl, alkylaryl, arylalkyl, alkoxy, thio, amino, cyano or silyl group. The cyclic or aromatic ring of the substituent may contain a heteroatom. Examples of the substituents are methyl, ethyl, propyl and isopropyl groups.


Neutral adduct ligands L shown in chemical equations II and IV can be independently selected ethers, amines or solvent molecules such as tetrahydrofurane that form a bond to the metal with one atom. Examples of suitable neutral adduct ligands that form a bond to a metal with several atoms are polyethers and polyamines.


In some embodiments a first metal precursor may comprise at least one cyclopentadienyl ligand and can be written according to Formula VIII:

(R1R2R3R4R5Cp)x-MR0z—(R6)y  (VIII)

    • wherein M is a metal selected from the group consisting of Mg, Sr, Ba, Sc, Y and lanthanides;
    • wherein each of the R0 groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected from:
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and
      • iv. heterocyclic groups;
    • wherein R6 is independently selected from:
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups;
      • iv. heterocyclic groups; and
      • v. NR1R2; and
    • wherein both x and y are ≥1 and z≥0.


In some embodiments, a first metal precursor comprising a cyclopentadienyl compound comprises at least one ligand that is bonded to a metal via nitrogen as depicted by Formula IX:

(R1R2R3R4R5Cp)x-MR0z—(NR1R2)y  (IX)

    • wherein M is a metal selected from the group consisting of Mg, Sr, Ba, Sc, Y or lanthanides;
    • wherein each of the Ro groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups is independently selected from:
    • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and
      • iv. heterocyclic groups; and
    • wherein both x and y are ≥1 and z≥0.


In Formula IX, the alkyl, alkenyl and alkynyl groups can be selected from any linear or branched alkyl, alkenyl and alkynyl groups which have 1 to 6 carbon atoms. Examples of such alkyl groups include methyl; ethyl; n- and i-propyl-; n-, i- and t-butyl-; n- and isoamyl; n- and isopentyl; n- and isohexyl; and 2,3-dimethyl-2-butyl. In some embodiments, alkyl groups are used. In other embodiments the C1-6, alkenyl and alkynyl groups include the corresponding groups having a corresponding degree of unsaturation can be used.


In some embodiments the first metal precursor is a compound having at least one cyclopentadienyl ligand and at least one chelating ligand, for example, a bidentate ligand. In some embodiments, this compound is depicted by Formula X, (R1R2R3R4R5Cp)x-MR0z—(NR1NR2R)y, as follows:




embedded image




    • wherein M is a metal selected from the group consisting of Mg, Sr, Ba, Sc, Y or lanthanides;

    • wherein R can be any linear and branched C1-C6 alkyl, alkenyl or alkynyl groups, which are independently substituted or unsubstituted and R can be bonded to two bridging nitrogen atoms any point of alkyl, alkenyl and alkynyl groups;

    • wherein each of the R0 groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected from:
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and
      • iv. heterocyclic groups; and

    • wherein both x and y are ≥1 and z≥0.





In some other embodiments, the first metal precursor can be depicted by Formula XI, (R1R2R3R4R5Cp)x-MR0z—[(NR1NR2)CNR3]y, as follows:




embedded image




    • wherein M is a metal, selected from the group consisting of Mg, Sr, Ba, Sc, Y or lanthanides;

    • wherein each of the R0 groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected from
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and
      • iv. heterocyclic groups; and

    • wherein both x and y are ≥1 and z≥0.





In further embodiments, the first metal precursor is depicted by Formula XII, (R1R2R3R4R5Cp)x-MR0z—[(NR1NR2)CNR3R4]y, as follows:




embedded image




    • wherein M is a metal, selected from the group consisting of Mg, Sr, Ba, Sc, Y or lanthanides;

    • wherein each of the R0 groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected from:
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and
      • iv. heterocyclic groups; and

    • wherein both x and y are ≥1 and z≥0.





In some embodiments, the first metal precursor as described in Formulae VIII-XII may comprise R0, R1, R2, R3, R4, R5, and R6 wherein each of the R0 groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups, and each of the R6 groups can be independently selected from

    • i. hydrogen;
    • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
    • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, and alkylaryl; and
    • iv. heterocyclic groups


Optionally, a first metal precursor as described may comprise modified cyclopentadienyl groups. In some embodiments, the modified cyclopentadienyl groups are selected from the group consisting of Me5Cp, MeCp, EtCp, and Me3SiCp. In further embodiments, the first metal precursor may comprise an anionic or dianionic guanidinate ligand such as a triisopropylguandinate ligand.


In some embodiments the second reactant comprises oxygen and may be referred to herein as the oxygen precursor, oxygen reactant, oxygen-containing precursor, or oxygen-containing reactant. In some embodiments the second reactant comprises molecular oxygen (O2). In some embodiments the second reactant does not comprise a compound comprising oxygen other than O2. In some embodiments the second reactant does not comprise O3 or H2O. In some embodiments the second reactant does not comprise a plasma, for example an oxygen plasma. In some embodiments the second reactant is supplied with or mixed with inert gas such as Na, He or Ar.


In some embodiments the second reactant comprises molecular oxygen and less than about 50%, 25%, 15%, 10%, 5%, 1%, or 0.1% of impurities other than inert gases.


In some embodiments, the selective catalyst deposition process may be carried out in situ, that is in the same reaction chamber as prior passivation and/or a subsequent deposition process, for example the subsequent selective deposition of silicon oxide on the dielectric surface. However, in some embodiments the selective catalyst deposition may be carried out in a separate reaction chamber from one or more subsequent processing steps, for example in one chamber that is part of a cluster tool.


In some embodiments, the substrate may be pretreated or cleaned prior to or at the beginning of the selective catalyst deposition.


Selective Deposition of Silicon Oxide on Catalyzed Dielectric Surfaces Relative to Metal Surfaces


Following passivation of the dielectric and/or metal surfaces (if conducted) and selective deposition of the catalyst (if conducted) on the dielectric surface, silicon oxide can be selectively deposited on the dielectric surface of the substrate relative to the metal surface. In some embodiments, silicon oxide is selectively deposited on the dielectric surface by contacting the substrate with a silicon reactant, such as a silanol (see, e.g., FIG. 2E). In some embodiments the substrate surface is contacted with a silicon reactant and an oxygen reactant, such as H2O. The formation of silicon oxide is catalyzed by the presence of the catalyst on the dielectric surface, or, in some embodiments, by the surface itself when a separate catalyst is not employed. In some embodiments, the catalyst is a metal catalyst. In some embodiments, the metal content in the deposited silicon oxide is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. % or less than about 1 at. %. In some embodiments, the metal catalyst comprises aluminum. In some embodiments, the aluminum content in the deposited silicon oxide is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. % or less than about 1 at. %.


One or more silanols can be used as the silicon reactant, such as alkoxysilanols or alkoxysilanediols. In some embodiments the silicon reactant may comprise on or more tris(tert-alkoxy)silanols, di(alkoxy)alkylsilanols, di(alkoxy)silanediols or bis(tert-alkoxy)silanediols. In some embodiments the silanol may be selected from one or more of tris(tert-butoxy)silanol (TBS), tris(isopropoxy)silanol (TIS), and tris(tert-pentoxy)silanol (TPS). Silanols are compounds comprising silicon bound to one or more hydroxyl (OH) groups. In some embodiments, the silanols comprise more than one OH— group bonded directly to the silicon atom. Silanol compounds include, without limitation, alkoxysilanols, alkoxyalkylsilanols, and alkoxysilanediols. In some embodiments, the silicon precursor comprises TPS. In some embodiments the silicon source is di(alkoxy)silanediol.


In some embodiments only a single silanol pulse is provided after the catalyst has been deposited on the dielectric surface. In some embodiments a single silanol pulse is used to deposit a silicon dioxide film with a thickness of more than 5 angstroms, as measured on the top surface of the dielectric surface on the substrate. As discussed above, in some embodiments the substrate can be contacted with the catalyst and the silanol in one or more silicon oxide deposition sub-cycles. The sub-cycles may be repeated until a silicon oxide film of the desired thickness has been selectively formed over the dielectric surface. In some embodiments a single sub-cycle may be all that is required to obtain a silicon dioxide film of a desired thickness. In other embodiments the steps may be repeated 2, 3, 4, 5, 6, 7, 8, 9, 10 or more times.


In some embodiments, more than one silanol pulse is provided in each deposition cycle. For example, a catalyst pulse can be followed by two, three or more silanol pulses. In some embodiments, a catalyst pulse is followed by two silanol pulses. In some embodiments, a single catalyst pulse is followed by at least 10, for example 15 or 20 silanol pulses. Each silanol pulse may be separated by a purge step. In other embodiments, each silanol pulse is provided after a predetermined time delay, without an intervening purge step.


Although generally described as beginning with provision of the catalyst, each silicon oxide deposition sub-cycle can begin with either reactant. However, as will be recognized by the skilled artisan, if the first sub-cycle begins with the silanol reactant, deposition may not begin until the second deposition cycle.


With respect to the catalyst, surface saturation ensures catalyst occupation of all available reactive sites (subject, for example, to physical size or “steric hindrance” restraints) and thus ensures excellent step coverage. However, in some embodiments, the catalyst can be provided in a non-saturating or under-saturating dose. Thus, in some embodiments, the dose of the catalyst is metered in order to provide a predetermined amount of catalyst and a predetermined amount of deposition of silicon dioxide.


With respect to the silanol reactant, in some embodiments a saturating pulse of silanol is provided. However, because the growth rate of silicon dioxide depends, in part, on diffusion of the precursor through the growing film, the growth rate can be controlled, for example by controlling precursor dose, purge time and/or temperature. Thus, in some embodiments a non-saturating dose of silanol can be provided. In some embodiments the dose of the silanol reactant and/or exposure time may be limited to provide silicon dioxide to a particular thickness and/or to a particular depth in a given reaction cycle.


In some embodiments a silicon dioxide thin film is selectively formed on a dielectric surface of a substrate relative to a metal surface by selecting a catalyst that is able to react with the dielectric surface (in view of any treatments it may have received) and carrying out a deposition process comprising one or more silicon dioxide deposition cycles, each silicon dioxide deposition cycle comprising:

    • providing a first vapor phase reactant pulse comprising a metal catalyst into the reaction chamber;
    • removing excess catalyst from the reaction chamber;
    • providing a second vapor phase reactant pulse comprising a silanol to the reaction chamber; and
    • removing excess second reactant and reaction byproducts, if any, from the reaction chamber.


In some embodiments a silicon oxide thin film is selectively deposited on one or more dielectric surfaces relative to one or more metal or metallic surfaces, such as a copper, cobalt, titanium nitride or tungsten surfaces.


The thickness of the film can be adjusted depending on the particular circumstances. In some embodiments a silicon dioxide film ranging from a few angstroms to a few nanometers is deposited. In some embodiments a thin film of silicon dioxide of less than about 2 nm is deposited. In some embodiments a thin film of silicon dioxide of less than about 3 nm is deposited. In some embodiments one or both of the catalysts and the silanol are underdosed in order to obtain deposition of a film of less than about 2 nm or less than about 3 nm. The thin film may be deposited in one deposition cycle or in multiple deposition cycles.


Before starting the deposition of the silicon oxide, the substrate is typically heated to a suitable growth temperature. In some embodiments, the growth temperature of the silicon dioxide thin film is less than about 500° C., less than about 400° C., less than about 300° C., less than about 200° C., less than about 150° C. or even less than about 125° C. Temperatures are typically such that the catalyst does not decompose. In some embodiments the deposition process can be performed at temperatures greater than about 100° C., for example with trimethyl aluminum (TMA) as a catalyst.


In some embodiments the pulse time for the reactants may be from about 0.1 to about 10 seconds, and the purge time between reactant pulses may also be from about 0.1 to about 10 seconds. In some embodiments the pulse time for the reactants may be longer than about 10 seconds. In some embodiments the pulse time may be from about 0.1 to about 15 seconds or more, or from about 0.1 to about 20 seconds or more. In some embodiments the pulse time may be from about 10 to about 20 seconds or more. In some embodiments, the purge time between reactant pulses may be longer than about 10 seconds, such as about 15 seconds or about 20 seconds. In some embodiments the purge time may be from about 0.1 to about 15 seconds or more or from about 0.1 to about 20 seconds or more. In some embodiments the purge time may be from about 10 to about 20 seconds or more.


The pressure in the reaction chamber is typically from about 0.1 mTorr to about 5 Torr, more preferably from about 0.1 mTorr to about 3 Torr, and most preferably 0.2 mTorr to about 3 Torr. However, in some cases the pressure will be higher or lower than this range, as can be readily determined by the skilled artisan.


In one embodiment, in a silicon oxide deposition sub-cycle, silicon oxide, such as silicon dioxide, for example SiO2, is deposited on a dielectric surface of a substrate relative to a passivated metal surface at a temperature of about 150° C. Trimethyl aluminum (TMA) is pulsed into the reaction chamber for 150 ms, followed by a 3 s purge. TPS is then pulsed into the reaction chamber for 100 s, followed by a 90 s purge.


Post-Deposition Treatment


Following the selective deposition of the silicon oxide, the substrate may be subjected to a post-deposition cleaning step to remove the polymer passivation layer from the metal surfaces, as mentioned above (See, e.g., FIG. 2F). In some embodiments the cleaning step may comprise etching. In some embodiments the cleaning step may comprise plasma etching. In some embodiments the cleaning step may comprise H2 plasma treatment. In some embodiments the cleaning step is carried out at a temperature of about room temperature to about 400° C. In some embodiments plasma power of about 25 to about 250 W may be used to generate a plasma in flowing H2, for example at a flow rate of about 10 to about 500 sccm. The clean time after deposition of the silicon oxide layer may be, for example, from about 0.1 to about 600 seconds or more in some embodiments.


In some embodiments a thin silicon oxide film is selectively deposited on a dielectric surface of a three-dimensional structure relative to one or more passivated metal surfaces. The three-dimensional structure may comprise, for example, a via or a trench. In some embodiments metal surfaces may be selectively passivated and an aluminum catalyst deposited on dielectric surfaces prior to depositing the silicon oxide film. In some embodiments, the aluminum content in the deposited silicon oxide film is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. % or less than about 1 at. %.


Selectivity


Selective passivation and/or selective deposition can be fully selective or partially selective. A partially selective process can be followed by a post-deposition etch that removes some or all of the deposited material from over one surface without removing all of the deposited material from over a second surface, resulting in a fully selective layer. Thus, in some embodiments the selective deposition need not be fully selective in order to obtain the desired benefits.


Selectivity of deposition (or passivation) on a first surface, here referred to as surface A, relative to a second surface, referred to as surface B, can be given as a percentage calculated by [(deposition on surface A)−(deposition on surface B)]/(deposition on the surface A). Deposition can be measured in any of a variety of ways. For example, deposition may be given as the measured thickness of the deposited material, or may be given as the measured amount of material deposited. In embodiments described herein, one surface (A) can be selectively passivated relative to a second surface (B). With respect to passivation, if the passivation results from treatment of the substrate surface rather than deposition of a layer, the amount of passivation can be a measure of available reactive sites on the substrate surface that have reacted with the passivation agent.


In some embodiments, selectivity for the selective formation of the passivation layer (or silylation) on a dielectric surface (relative to a metal or metallic surface) is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.


In some embodiments, selectivity for the selective formation of the polymer passivation layer on a metal surface (relative to a dielectric surface) is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.


In some embodiments, deposition of the catalyst on a dielectric surface relative to a polymer passivated metal surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.


In some embodiments, deposition of the catalyst on a dielectric surface relative to an unpassivated metal surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.


In some embodiments, selectivity of deposition of silicon oxide on a catalyzed dielectric surface (relative to a passivated or unpassivated metal surface) is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.


In some embodiments, deposition only occurs on one surface and does not occur on the other surface.


In some embodiments, passivation of a dielectric surface by silylation relative to a metal or metallic surface of the substrate is at least about 80% selective. In some embodiments, the passivation process is at least about 50% selective. In some embodiments the passivation process is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can result in a fully selective passivation of the oxide surface by a post-deposition etch that removes any silylation from the other surface.


In some embodiments, passivation of a metal surface by formation of a polymer layer thereon relative to a dielectric surface of the substrate is at least about 80% selective. In some embodiments, the passivation process is at least about 50% selective. In some embodiments the passivation process is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can result in a fully selective passivation of the metal surface by a post-deposition etch that removes any polymer from the other surface.


In some embodiments, deposition of a catalyst on a dielectric surface relative to a passivated metal surface of the substrate is at least about 80% selective. In some embodiments, the catalyst deposition process is at least about 50% selective. In some embodiments the catalyst deposition process is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can result in a fully selective deposition on the dielectric surface by a post-deposition etch that removes any catalyst from the metal surface.


In some embodiments, deposition of silicon oxide on a catalyzed dielectric surface of the substrate relative to a polymer passivated metal surface of the substrate is at least about 80% selective. In some embodiments, deposition of silicon oxide on a catalyzed dielectric surface of the substrate relative to a polymer passivated metal surface of the substrate is at least about 50% selective. In some embodiments deposition of silicon oxide on a catalyzed dielectric surface of the substrate relative to a polymer passivated metal surface of the substrate is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can be followed by a post-deposition etch (or other treatment) that removes substantially all of the deposited material from over the metal surface. Furthermore, the post-deposition treatment can also aid in tailoring the position and/or profile of the selectively deposited layer.


Selective Deposition of Silicon Oxide on Metal or Metallic Surfaces



FIGS. 2A-2F schematically illustrate an embodiment for selective passivation 230 of a first dielectric surface 220 relative to a second metal or metallic surface 210, selective polymer passivation 240 of the metal surface 210 relative to the dielectric surface 220, followed by selective deposition of silicon oxide 260 on the dielectric surface 220 relative to the polymer passivated metal surface.



FIG. 2A illustrates a substrate having materially different surfaces exposed. For example, the first surface can comprise or be defined by a dielectric material 220, such as a silicon oxide-based layer or a silicon surface having native oxide formed thereover. The second surface can comprise or be defined by a metal 210, such as copper (Cu).



FIG. 2B shows the substrate of FIG. 2A after selective passivation 230 of the dielectric surface 220, such as by silylation. For example, a passivation layer 230 may be formed selectively on the dielectric surface 220 by exposing the substrate to a silylating agent, such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).



FIG. 2C shows the substrate of FIG. 2B after selective deposition of a polymer passivation layer 240 on the metal surface, such as by formation of a SAM or a polyimide layer.



FIG. 2D shows the substrate of FIG. 2C following selective deposition of an aluminum catalyst 250 on the dielectric surface 220 relative to the polymer passivation layer 240 on the metal surface 210. The aluminum catalyst 250 may be formed selectively on the dielectric surface 220 by exposing the substrate to an aluminum reactant such as trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA). Although illustrated with an aluminum catalyst 250, in other embodiments metal catalysts comprising other metals may be used.



FIG. 2E shows the substrate of FIG. 2D following selective deposition of silicon oxide 260 on the catalyzed dielectric surface relative to the polymer passivated metal surface. In some embodiments the silicon oxide 260 is formed by exposing the substrate to a silanol reactant, such as tris(tert-pentoxy)silanol. The silanol reactant may decompose on the aluminum atoms on the catalyzed dielectric surface, leading to the deposition of silicon oxide 260 on the dielectric surface 220. In some embodiments, the aluminum content, or other metal content from the catalyst in the deposited silicon oxide is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. %, or less than about 1 at. %.


As noted above, any silicon oxide deposited on the metal layer, such as on the polymer passivated metal layer, can be removed by a post deposition treatment, such as an etch back process. Because the silicon oxide is deposited selectively on the dielectric surface, any silicon oxide left on the polymer passivation surface will be thinner than the silicon oxide formed on the dielectric surface. Accordingly, the post deposition treatment can be controlled to remove all of the silicon oxide over the metal surface without removing all of the silicon oxide from over the dielectric surface. Repeated selective deposition and etching back in this manner can result in an increasing thickness of the silicon oxide on the dielectric surface with each cycle of deposition and etch. Repeated selective deposition and etching back in this manner can also result in increased overall selectivity of the silicon oxide on the dielectric surface, as each cycle of deposition and etch leaves a clean passivation layer over which the selective silicon oxide deposition nucleates poorly. In other embodiments, silicon oxide over the metal surface may be removed during subsequent removal of the polymer passivation layer.



FIG. 2F shows the substrate of FIG. 2E after a post deposition treatment to remove the polymer passivation layer 240 from the metal surface 210, such as by an etch process. In some embodiments, the etch process may comprise exposing the substrate to a plasma. In some embodiments, the plasma may comprise oxygen atoms, oxygen radicals, oxygen plasma, or combinations thereof. In some embodiments, the plasma may comprise hydrogen atoms, hydrogen radicals, hydrogen plasma, or combinations thereof. In some embodiments, the plasma may comprise noble gas species, for example Ar or He species. In some embodiments the plasma may consist essentially of noble gas species. In some instances, the plasma may comprise other species, for example nitrogen atoms, nitrogen radicals, nitrogen plasma, or combinations thereof. In some embodiments, the etch process may comprise exposing the substrate to an etchant comprising oxygen, for example O3. In some embodiments, the substrate may be exposed to an etchant at a temperature of between about 30° C. and about 500° C., or between about 100° C. and about 400° C. In some embodiments, the etchant may be supplied in one continuous pulse or may be supplied in multiple pulses. The removal of the polymer passivation layer can be used to lift-off any remaining metal oxide from over the metal layer, either in a complete removal of the polymer passivation layer or in a partial removal of the polymer passivation layer in a cyclical selective deposition and removal.


Additional treatments, such as heat or chemical treatment, can be conducted prior to, after or between the foregoing processes. For example, treatments may modify the surfaces or remove portions of the metal, silicon oxide, passivation and metal oxide surfaces exposed at various stages of the process. In some embodiments the substrate may be pretreated or cleaned prior to or at the beginning of the process. In some embodiments, the substrate may be subjected to a plasma cleaning process, as mentioned above.


Although certain embodiments and examples have been discussed, it will be understood by those skilled in the art that the scope of the claims extend beyond the specifically disclosed embodiments to other alternative embodiments and/or uses and obvious modifications and equivalents thereof.

Claims
  • 1. A method of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate, the method comprising, in order: selectively passivating the dielectric surface relative to the metal surface;selectively forming a polymer passivation layer on the metal surface;contacting the dielectric surface with a metal catalyst; andcontacting the dielectric surface with a silicon reactant comprising a silanol.
  • 2. The method of claim 1, wherein the metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, and Mo.
  • 3. The method of claim 1, wherein the dielectric surface comprises silicon oxide.
  • 4. The method of claim 1, wherein selectively passivating the dielectric surface comprises contacting the dielectric surface with a silylating agent.
  • 5. The method of claim 4, wherein the silylating agent comprises an alkylaminosilane.
  • 6. The method of claim 4, wherein the silylating agent comprises allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • 7. The method of claim 1, additionally comprising treating the dielectric surface with plasma after selectively forming the polymer passivation layer on the metal surface and prior to contacting the dielectric surface with the metal catalyst.
  • 8. The method of claim 1, wherein the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tri s(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
  • 9. The method of claim 1, wherein the metal catalyst is a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga.
  • 10. The method of claim 1, wherein the silicon reactant comprises tris(tert-butoxy)silanol (TBS), tris(isopropoxy)silanol (TIS), or tris(tert-pentoxy)silanol (TPS).
  • 11. The method of claim 1, wherein the polymer passivation layer formed on the metal surface comprises a self-assembled monolayer (SAM) or a polyimide layer.
  • 12. The method of claim 1, wherein the selectivity of deposition of silicon oxide on the catalyzed dielectric surface relative to the metal surface on which the polymer passivation layer has been formed is greater than about 50%.
  • 13. A method of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate comprising: selectively forming a polymer passivation layer on the metal surface, andconducting one or more silicon oxide deposition cycles comprising alternately and sequentially contacting the substrate with a metal catalyst and a silanol.
  • 14. The method of claim 13, additionally comprising contacting the dielectric surface with a silylating agent prior to selectively forming the polymer passivation layer on the metal surface.
  • 15. The method of claim 14, wherein the silylating agent comprises alyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • 16. The method of claim 13, wherein the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
  • 17. The method of claim 13, wherein the silanol is tris(tert-pentoxy)silanol (TPS).
  • 18. The method of claim 13, wherein the silicon oxide deposition cycle is repeated two or more times in a row.
  • 19. The method of claim 13, wherein the substrate is contacted with the silanol two or more times in at least one silicon oxide deposition cycle.
  • 20. The method of claim 13, wherein the polymer passivation layer comprises a polyimide layer or a thiol SAM.
REFERENCE TO RELATED APPLICATION

This application claims priority to U.S. Provisional Application No. 63/002,136, filed on Mar. 30, 2020, which is incorporated by reference herein in its entirety.

US Referenced Citations (321)
Number Name Date Kind
4804640 Kaganowicz Feb 1989 A
4863879 Kwok Sep 1989 A
4948755 Mo Aug 1990 A
5288697 Schrepp et al. Feb 1994 A
5447887 Filipiak et al. Sep 1995 A
5604153 Tsubouchi et al. Feb 1997 A
5633036 Seebauer et al. May 1997 A
5869135 Vaeth et al. Feb 1999 A
5925494 Horn Jul 1999 A
6046108 Liu et al. Apr 2000 A
6416577 Suntoloa et al. Jul 2002 B1
6426015 Xia et al. Jul 2002 B1
6455414 Hillman et al. Sep 2002 B1
6482740 Soininen et al. Nov 2002 B2
6586330 Ludviksson et al. Jul 2003 B1
6679951 Soininen et al. Jan 2004 B2
6759325 Raaijmakers et al. Jul 2004 B2
6811448 Paton Nov 2004 B1
6844258 Fair et al. Jan 2005 B1
6852635 Satta et al. Feb 2005 B2
6858533 Chu et al. Feb 2005 B2
6878628 Sophie et al. Apr 2005 B2
6887795 Soininen et al. May 2005 B2
6921712 Soininen et al. Jul 2005 B2
6958174 Klaus et al. Oct 2005 B1
7041609 Vaartstra May 2006 B2
7067407 Kostamo et al. Jun 2006 B2
7084060 Furukawa et al. Aug 2006 B1
7118779 Verghese et al. Oct 2006 B2
7220669 Hujanen et al. May 2007 B2
7241677 Soininen et al. Jul 2007 B2
7323411 Blosse Jan 2008 B1
7405143 Leinikka et al. Jul 2008 B2
7425350 Todd Sep 2008 B2
7476618 Kilpela et al. Jan 2009 B2
7494927 Kostamo et al. Feb 2009 B2
7595271 White Sep 2009 B2
7611751 Elers Nov 2009 B2
7695567 Fu Apr 2010 B2
7754621 Putkonen Jul 2010 B2
7790631 Sharma et al. Sep 2010 B2
7799135 Verghese et al. Sep 2010 B2
7910177 Li Mar 2011 B2
7914847 Verghese et al. Mar 2011 B2
7927942 Raaijmakers Apr 2011 B2
7951637 Weidman et al. May 2011 B2
7955979 Kostamo et al. Jun 2011 B2
7964505 Khandelwal et al. Jun 2011 B2
8173554 Lee et al. May 2012 B2
8293597 Raaijmakers Oct 2012 B2
8293658 Shero et al. Oct 2012 B2
8425739 Wieting Apr 2013 B1
8466052 Baek et al. Jun 2013 B2
8536058 Kostamo et al. Sep 2013 B2
8623468 Lin et al. Jan 2014 B2
8778815 Yamaguchi et al. Jul 2014 B2
8890264 Dewey et al. Nov 2014 B2
8956971 Haukka et al. Feb 2015 B2
8962482 Albertson et al. Feb 2015 B2
8980418 Darling et al. Mar 2015 B2
8993404 Korbrinsky et al. Mar 2015 B2
9067958 Romero Jun 2015 B2
9112003 Haukka et al. Aug 2015 B2
9129897 Pore et al. Sep 2015 B2
9136110 Rathsack Sep 2015 B2
9159558 Cheng et al. Oct 2015 B2
9236292 Romero et al. Jan 2016 B2
9257303 Haukka et al. Feb 2016 B2
9312131 Bauer et al. Apr 2016 B2
9349687 Gates et al. May 2016 B1
9353139 Sundermeyer et al. May 2016 B2
9455138 Fukazawa et al. Sep 2016 B1
9490145 Niskanen et al. Nov 2016 B2
9502289 Haukka et al. Nov 2016 B2
9552979 Knaepen et al. Jan 2017 B2
9679808 Haukka et al. Jun 2017 B2
9786491 Suzuki et al. Oct 2017 B2
9786492 Suzuki et al. Oct 2017 B2
9803277 Longrie et al. Oct 2017 B1
9805974 Chen Oct 2017 B1
9816180 Haukka et al. Nov 2017 B2
9895715 Haukka et al. Feb 2018 B2
9911595 Smith et al. Mar 2018 B1
10014212 Chen et al. Jul 2018 B2
10041166 Longrie et al. Aug 2018 B2
10047435 Haukka et al. Aug 2018 B2
10049924 Haukka et al. Aug 2018 B2
10115603 Niskanen et al. Oct 2018 B2
10157786 Haukka et al. Dec 2018 B2
10186420 Fukazawa Jan 2019 B2
10204782 Maes et al. Feb 2019 B2
10343186 Pore et al. Jul 2019 B2
10373820 Tois et al. Aug 2019 B2
10428421 Haukka et al. Oct 2019 B2
10443123 Haukka et al. Oct 2019 B2
10453701 Tois et al. Oct 2019 B2
10480064 Longrie et al. Nov 2019 B2
10546741 Muramaki et al. Jan 2020 B2
10695794 Pore et al. Jun 2020 B2
10847363 Tapily Nov 2020 B2
10900120 Sharma et al. Jan 2021 B2
10991573 Jia Apr 2021 B2
11664219 Maes May 2023 B2
20010019803 Mirkanimi Sep 2001 A1
20010021414 Morishima et al. Sep 2001 A1
20010025205 Chern et al. Sep 2001 A1
20020027261 Blesser et al. Mar 2002 A1
20020047144 Nguyen et al. Apr 2002 A1
20020068458 Chiang et al. Jun 2002 A1
20020090777 Forbes et al. Jul 2002 A1
20020107316 Bice et al. Aug 2002 A1
20030027431 Sneh et al. Feb 2003 A1
20030066487 Suzuki Apr 2003 A1
20030143839 Raaijmakers et al. Jul 2003 A1
20030176559 Bice et al. Sep 2003 A1
20030181035 Yoon Sep 2003 A1
20030185997 Hsieh Oct 2003 A1
20030192090 Meilland Oct 2003 P1
20030193090 Otani et al. Oct 2003 A1
20040092073 Cabral May 2004 A1
20040129558 Liu et al. Jul 2004 A1
20040219746 Vaartstra et al. Jul 2004 A1
20040266185 Doke et al. Dec 2004 A1
20050012975 George et al. Jan 2005 A1
20050112282 Gordon et al. May 2005 A1
20050136604 Al-Bayati et al. Jun 2005 A1
20050160575 Gambino et al. Jul 2005 A1
20050223989 Lee et al. Oct 2005 A1
20060019493 Li Jan 2006 A1
20060047132 Shenai-Khatkhate et al. Mar 2006 A1
20060071207 Conley, Jr. Apr 2006 A1
20060121271 Frey et al. Jun 2006 A1
20060121677 Parekh et al. Jun 2006 A1
20060121733 Kilpela et al. Jun 2006 A1
20060128150 Gandikota et al. Jun 2006 A1
20060141155 Gordon et al. Jun 2006 A1
20060156979 Thakur et al. Jul 2006 A1
20060176559 Takatoshi et al. Aug 2006 A1
20060199399 Muscat Sep 2006 A1
20060226409 Burr et al. Oct 2006 A1
20060292845 Chiang et al. Dec 2006 A1
20070014919 Hamalainen et al. Jan 2007 A1
20070026654 Huotari et al. Feb 2007 A1
20070036892 Haukka et al. Feb 2007 A1
20070063317 Kim et al. Mar 2007 A1
20070098894 Verghese et al. May 2007 A1
20070099422 Wijekoon et al. May 2007 A1
20070232082 Balseanu et al. Oct 2007 A1
20070241390 Tanaka et al. Oct 2007 A1
20070251444 Gros-Jean et al. Nov 2007 A1
20070292604 Dordi et al. Dec 2007 A1
20080032064 Gordon et al. Feb 2008 A1
20080066680 Sherman Mar 2008 A1
20080072819 Rahtu Mar 2008 A1
20080124932 Tateishi et al. May 2008 A1
20080179741 Streck et al. Jul 2008 A1
20080241575 Lavoie et al. Oct 2008 A1
20080282970 Heys et al. Nov 2008 A1
20090035949 Niinisto et al. Feb 2009 A1
20090071505 Miya et al. Mar 2009 A1
20090081385 Heys et al. Mar 2009 A1
20090203222 Dussarrat et al. Aug 2009 A1
20090250815 Yang Oct 2009 A1
20090269507 Yu et al. Oct 2009 A1
20090274887 Millward et al. Nov 2009 A1
20090275163 Lacey et al. Nov 2009 A1
20090311879 Blasco et al. Dec 2009 A1
20100015756 Weidman et al. Jan 2010 A1
20100102417 Ganguli et al. Apr 2010 A1
20100147396 Yamagishi et al. Jun 2010 A1
20100178468 Jiang et al. Jul 2010 A1
20100248473 Ishizaka et al. Sep 2010 A1
20100270626 Raisanen Oct 2010 A1
20100297474 Dameron Nov 2010 A1
20100314765 Liang et al. Dec 2010 A1
20110039420 Nakao Feb 2011 A1
20110053800 Jung et al. Mar 2011 A1
20110120542 Levy May 2011 A1
20110124192 Ganguli et al. May 2011 A1
20110146568 Haukka et al. Jun 2011 A1
20110146703 Chen et al. Jun 2011 A1
20110198756 Thenappan et al. Aug 2011 A1
20110221061 Prakash et al. Sep 2011 A1
20110244680 Tahnoe et al. Oct 2011 A1
20110311726 Liu et al. Dec 2011 A1
20120032311 Gates Feb 2012 A1
20120046421 Darling et al. Feb 2012 A1
20120052681 Marsh Mar 2012 A1
20120088369 Weidman et al. Apr 2012 A1
20120091541 Suchomel et al. Apr 2012 A1
20120189868 Borovik et al. Jul 2012 A1
20120219824 Prolier et al. Aug 2012 A1
20120241411 Darling et al. Sep 2012 A1
20120264291 Ganguli et al. Oct 2012 A1
20120269970 Ido et al. Oct 2012 A1
20130005133 Lee et al. Jan 2013 A1
20130078793 Sun et al. Mar 2013 A1
20130084700 Swerts et al. Apr 2013 A1
20130089983 Sugita et al. Apr 2013 A1
20130095664 Matero et al. Apr 2013 A1
20130115763 Takamure et al. May 2013 A1
20130115768 Pore et al. May 2013 A1
20130126815 Kim et al. May 2013 A1
20130143401 Yu et al. Jun 2013 A1
20130146881 Yamazaki et al. Jun 2013 A1
20130157409 Vaidya et al. Jun 2013 A1
20130189790 Li et al. Jul 2013 A1
20130189837 Haukka et al. Jul 2013 A1
20130196502 Haukka et al. Aug 2013 A1
20130203267 Pomarede et al. Aug 2013 A1
20130280919 Yuasa et al. Oct 2013 A1
20130284094 Pavol et al. Oct 2013 A1
20130309457 Rathsack et al. Nov 2013 A1
20130316080 Yamaguchi et al. Nov 2013 A1
20130319290 Xiao et al. Dec 2013 A1
20130323930 Chattopadhyay et al. Dec 2013 A1
20130330936 Lachaud et al. Dec 2013 A1
20140001572 Bohr et al. Jan 2014 A1
20140024200 Kato et al. Jan 2014 A1
20140091308 Dasgupta et al. Apr 2014 A1
20140120738 Jung et al. May 2014 A1
20140152383 Nikonov et al. Jun 2014 A1
20140190409 Matsumoto et al. Jul 2014 A1
20140193598 Traser et al. Jul 2014 A1
20140205766 Lyon et al. Jul 2014 A1
20140209022 Inoue et al. Jul 2014 A1
20140227461 Darwish et al. Aug 2014 A1
20140252487 Stephens et al. Sep 2014 A1
20140272194 Xiao et al. Sep 2014 A1
20140273290 Somervell Sep 2014 A1
20140273477 Niskanen et al. Sep 2014 A1
20140273514 Somervell et al. Sep 2014 A1
20140273523 Rathsack Sep 2014 A1
20140273527 Niskanen et al. Sep 2014 A1
20150004317 Dussarrat et al. Jan 2015 A1
20150004319 Mizue Jan 2015 A1
20150004806 Ndiege et al. Jan 2015 A1
20150011032 Kunimatsu et al. Jan 2015 A1
20150011093 Singh et al. Jan 2015 A1
20150037972 Danek et al. Feb 2015 A1
20150064931 Kumagi et al. Mar 2015 A1
20150083415 Monroe et al. Mar 2015 A1
20150087158 Sugita et al. Mar 2015 A1
20150093890 Blackwell et al. Apr 2015 A1
20150097292 He et al. Apr 2015 A1
20150118863 Rathod et al. Apr 2015 A1
20150162214 Thompson et al. Jun 2015 A1
20150170961 Romero et al. Jun 2015 A1
20150179798 Clendenning et al. Jun 2015 A1
20150217330 Haukka et al. Aug 2015 A1
20150240121 Sugita et al. Aug 2015 A1
20150275355 Mallikarjunan et al. Oct 2015 A1
20150299848 Haukka et al. Oct 2015 A1
20150371866 Chen et al. Dec 2015 A1
20150372205 Kimura et al. Dec 2015 A1
20150376211 Girard et al. Dec 2015 A1
20160005731 Chen Jan 2016 A1
20160075884 Chen Mar 2016 A1
20160079524 Do et al. Mar 2016 A1
20160086850 Romero et al. Mar 2016 A1
20160152640 Kuchenbeiser et al. Jun 2016 A1
20160172189 Tapily Jun 2016 A1
20160186004 Hustad et al. Jun 2016 A1
20160190060 Bristol et al. Jun 2016 A1
20160222504 Haukka et al. Aug 2016 A1
20160247695 Niskanen et al. Aug 2016 A1
20160276208 Haukka et al. Sep 2016 A1
20160284568 Morris et al. Sep 2016 A1
20160293384 Yan et al. Oct 2016 A1
20160293398 Danek et al. Oct 2016 A1
20160315191 Tsai et al. Oct 2016 A1
20160346838 Fujita et al. Dec 2016 A1
20160365280 Brink et al. Dec 2016 A1
20170037513 Haukka et al. Feb 2017 A1
20170040164 Wang et al. Feb 2017 A1
20170051405 Fukazawa et al. Feb 2017 A1
20170058401 Blackwell et al. Mar 2017 A1
20170069527 Haukka et al. Mar 2017 A1
20170100742 Pore et al. Apr 2017 A1
20170100743 Pore et al. Apr 2017 A1
20170107413 Wang et al. Apr 2017 A1
20170154806 Wang et al. Jun 2017 A1
20170298503 Maes et al. Oct 2017 A1
20170301542 Maes et al. Oct 2017 A1
20170323776 Färm et al. Nov 2017 A1
20170332179 Bright et al. Nov 2017 A1
20170352533 Tois et al. Dec 2017 A1
20170352550 Tois et al. Dec 2017 A1
20170358482 Chen et al. Dec 2017 A1
20180010247 Niskanen et al. Jan 2018 A1
20180040708 Narayanan et al. Feb 2018 A1
20180073136 Haukka et al. Mar 2018 A1
20180080121 Longrie et al. Mar 2018 A1
20180096888 Naik et al. Apr 2018 A1
20180142348 Yu et al. May 2018 A1
20180151345 Haukka et al. May 2018 A1
20180151355 Fukazawa May 2018 A1
20180182618 Blanquart et al. Jun 2018 A1
20180211833 Li et al. Jul 2018 A1
20180222933 Romero Aug 2018 A1
20180233350 Tois et al. Aug 2018 A1
20180243787 Haukka et al. Aug 2018 A1
20180350587 Jia et al. Dec 2018 A1
20190017170 Sharma et al. Jan 2019 A1
20190057858 Hausmann et al. Feb 2019 A1
20190074441 Kikuchi et al. Mar 2019 A1
20190010037 Haukka et al. Apr 2019 A1
20190155159 Knaepen et al. May 2019 A1
20190283077 Pore et al. Sep 2019 A1
20190333761 Tois et al. Oct 2019 A1
20190341245 Tois et al. Nov 2019 A1
20200051829 Tois et al. Feb 2020 A1
20200090924 Wu et al. Mar 2020 A1
20200105515 Maes et al. Apr 2020 A1
20200122191 Haukka et al. Apr 2020 A1
20200325573 Illiberi Oct 2020 A1
20200395211 Jia et al. Dec 2020 A1
20210301392 Illiberi Sep 2021 A1
20210301394 Illiberi Sep 2021 A1
20230139917 Tois May 2023 A1
20230140812 Chiappe May 2023 A1
Foreign Referenced Citations (38)
Number Date Country
111816547 Oct 2020 CN
0469456 Feb 1992 EP
0880168 Nov 1998 EP
1340269 Feb 2009 EP
3026055 Jun 2016 EP
2001-127068 May 2001 JP
2008-311603 Dec 2008 JP
2011-018742 Jan 2011 JP
2011-187583 Sep 2011 JP
2014-93331 May 2014 JP
10-2001-001072 Feb 2001 KR
10-2002-0010821 Feb 2002 KR
2003-0027392 Apr 2003 KR
10-2004-0056026 Jun 2004 KR
10-2005-0103811 Nov 2005 KR
10-0920033 Oct 2009 KR
10-2010-0093859 Aug 2010 KR
10-2020-0120872 Oct 2020 KR
10-2197048 Dec 2020 KR
2005-39321 Dec 2005 TW
2010-05827 Feb 2010 TW
2010-27766 Jul 2010 TW
2014-39365 Oct 2014 TW
1652734 Mar 2019 TW
WO 2002045167 Jun 2002 WO
WO 2011156705 Dec 2011 WO
WO 2013161772 Oct 2013 WO
WO 2014156782 Oct 2014 WO
WO 2014209390 Dec 2014 WO
WO 2015047345 Apr 2015 WO
WO 2015094305 Jun 2015 WO
WO 2015147843 Oct 2015 WO
WO 2015147858 Oct 2015 WO
WO 2016178978 Nov 2016 WO
WO 2017184357 Oct 2017 WO
WO 2017184358 Oct 2017 WO
WO 2018204709 Nov 2018 WO
WO 2018213018 Nov 2018 WO
Non-Patent Literature Citations (125)
Entry
Dennis Hausmann et al., Rapid vapor deposition of highly conformal silica nanolaminates, Science 2002, 402-406, 298.
B.B. Burton et al., Rapid SiO2 Atomic Layer Deposition Using Tris(tert-pentoxy)silanol, Chemistry of Materials, Oct. 29, 2008, 7031-7043, 20, 22.
Dong-Won Choi et al., Rapid vapor deposition SiO2 thin film deposited at a low temperature using tris(tert-pentoxy)silanol and trimethyl-aluminum, Materials chemistry and Physics, 2013, 614-618, 142.
Aaltonen et al., “Atomic Layer Deposition of Iridium Thin Films”, Journal of The Electrochemical Society, 151 (8) G489-G492 (2004).
Au et al., “Selective Chemical Vapor Deposition of Manganese Self-Aligned Capping Layer for Cu Interconnections in Microelectronics”, Journal of the Electrochemical Society, vol. 157, No. 6, 2010, pp. D341-D345.
Benzotriazole, Wikipedia via https://en.wikipedia.org/wiki/Benzotriazole; pp. 1-5, no date available.
Bernal-Ramos, et al., “Atomic Layer Deposition of Cobalt Silicide Thin Films Studied by in Situ Infrared Spectroscopy”, Chem. Mater. 2015, 27, pp. 4943-4949.
Bouteville et al., “Selective R.T.L.P.C.V.D. of Tungsten by Silane Reduction on Patterned PPQ/Si Wafers” Journal De Physique IV, Colloque C2, suppl. au Journal de Physique II, vol. 1, Sep. 1991, pp. C2-857-C2-864.
Burton et al., “Atomic Layer Deposition of MgO Using Bis(ethylcyclopentadienyl)magnesium and H2O”. J. Phys. Chem. C, 2009, 113, 1939-1946.
Burton et al. “SiO2 Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy”. J. Phys. Chem. C, 2009, 113, 8249-8257.
Carlsson, J., “Precursor Design for Chemical Vapour Deposition”, Acta Chemica Scandinavica, vol. 45, 1991, pp. 864-869.
Chang et al, “Influences of damage and contamination from reactive ion etching on selective tungsten deposition in a low-pressure chemical-vapor-deposition reactor”, J. Appl. Phys., vol. 80, No. 5, Sep. 1, 1996, pp. 3056-3061.
Chen et al., “Highly Stable Monolayer Resists for Atomic Layer Deposition on Germanium and Silicon”, Chem. Matter, vol. 18, No. 16, pp. 3733-3741, 2006.
Cho et al., “Atomic layer deposition of Al2O3 thin films using dimethylaluminum isopropoxide and water”, Journal of Vacuum Science & Technology A 21, (2003), doi: 10.1116/1.1562184, pp. 1366-1370.
Coclite, et al.; 25th Anniversary Article: CVD Polymers: A New Paradigm for Surface Modification and Device Fabrication; Advanced Materials; Oct. 2013; 25; pp. 5392-5423.
Elam et al., “Kinetics of the WF6 and Si2H6 surface reactions during tungsten atomic layer deposition”, Surface Science, vol. 479, 2001, pp. 121-135.
Elam et al., “Nucleation and growth during tungsten atomic layer deposition on SiO2 surfaces”, Thin Solid Films, vol. 386, 2001 pp. 41-52.
Ellinger et al., “Selective Area Spatial Atomic Layer Deposition of ZnO, Al2O3, and Aluminum-Doped ZnO Using Poly(vinyl pyrrolidone)”, Chem. Mater. 2014, 26, pp. 1514-1522.
Fabreguette et al., Quartz crystal microbalance study of tungsten atomic layer deposition using WF6 and Si2H6, Thin Solid Films, vol. 488, 2005, pp. 103-110.
Farm et al., “Self-Assembled Octadecyltrimethoxysilane Monolayers Enabling Selective-Area Atomic Layer Deposition of Iridium”, Chem. Vap. Deposition, 2006, 12, pp. 415-417.
Farm et al. “Selective-Area Atomic Layer Deposition Using Poly( methyl methacrylate) Films as Mask Layers”, J. Phys. Chem. C, 2008, 112, pp. 15791-15795. (Year: 2008).
Farr, “Synthesis and Characterization of Novel Polyimide Gas Separation Membrane Material Systems”, Chapter 2; Virginia Tech Chemistry PhD Dissertation; URN# etd-080999-123034; Jul. 26, 1999.
File History of U.S. Appl. No. 15/177,195, filed Jun. 8, 2016.
File History of U.S. Appl. No. 13/702,992, filed Mar. 26, 2013.
File History of U.S. Appl. No. 13/708,863, filed Dec. 7, 2012.
File History of U.S. Appl. No. 17/135,001, filed Dec. 28, 2020.
File History of U.S. Appl. No. 17/113,383, filed Dec. 7, 2020.
File History of U.S. Appl. No. 17/064,865, filed Oct. 7, 2020.
File History of U.S. Appl. No. 16/594,365, filed Oct. 7, 2019.
File History of U.S. Appl. No. 16/033,952, filed Jul. 12, 2018.
File History of U.S. Appl. No. 16/399,328, filed Apr. 30, 2019.
File History of U.S. Appl. No. 16/588,600, filed Sep. 30, 2019.
File History of U.S. Appl. No. 16/836,151, filed Mar. 31, 2020.
File History of U.S. Appl. No. 16/657,307, filed Oct. 18, 2019.
File History of U.S. Appl. No. 16/787,672, filed Feb. 11, 2020.
File History of U.S. Appl. No. 16/773,064, filed Jan. 27, 2020.
File History of U.S. Appl. No. 16/575,112, filed Sep. 18, 2019.
File History of U.S. Appl. No. 16/676,017, filed Nov. 6, 2019.
File History of U.S. Appl. No. 16/605,475, filed Oct. 15, 2019.
File History of U.S. Appl. No. 15/971,601, filed May 4, 2018.
File History of U.S. Appl. No. 15/795,768, filed Oct. 27, 2017.
File History of U.S. Appl. No. 14/737,293, filed Jun. 11, 2015.
File History of U.S. Appl. No. 15/356,306, filed Nov. 18, 2016.
File History of U.S. Appl. No. 14/613,183, filed Feb. 3, 2015.
File History of U.S. Appl. No. 14/988,374, filed Jan. 5, 2016.
File History of U.S. Appl. No. 15/609,497, filed May 31, 2017.
File History of U.S. Appl. No. 16/100,581, filed Aug. 10, 2018.
File History of U.S. Appl. No. 14/612,784, filed Feb. 3, 2015.
File History of U.S. Appl. No. 15/877,632, filed Jan. 23, 2018.
File History of U.S. Appl. No. 14/687,833, filed Apr. 15, 2015.
File History of U.S. Appl. No. 16/100,855, filed Aug. 10, 2018.
File History of U.S. Appl. No. 14/628,799, filed Feb. 23, 2015.
File History of U.S. Appl. No. 15/331,366, filed Oct. 21, 2016.
File History of U.S. Appl. No. 16/143,888, filed Sep. 27, 2018.
File History of U.S. Appl. No. 14/817,161, filed Aug. 3, 2015.
File History of U.S. Appl. No. 14/819,274, filed Aug. 5, 2015.
File History of U.S. Appl. No. 15/432,263, filed Feb. 14, 2017.
File History of U.S. Appl. No. 16/158,780, filed Oct. 12, 2018.
File History of U.S. Appl. No. 15/221,453, filed Jul. 27, 2016.
File History of U.S. Appl. No. 16/040,844, filed Jul. 20, 2018.
File History of U.S. Appl. No. 15/581,726, filed Apr. 28, 2017.
File History of U.S. Appl. No. 15/364,024, filed Nov. 29, 2016.
File History of U.S. Appl. No. 15/892,728, filed Feb. 9, 2018.
File History of U.S. Appl. No. 16/213,479, filed Dec. 7, 2018.
File History of U.S. Appl. No. 16/987,990, filed Aug. 7, 2020.
Formic Acid, Wikipedia via https://en.wikipedia.org/wiki/Formic_acid; pp. 1-5, no date available.
George, “Atomic layer deposition: An overview”, Chem. Rev. 2010, 110 pp. 111-131, Feb. 12, 2009.
Ghosal et al., “Controlling Atomic Layer Deposition of TiO2 in Aerogels through Surface Functionalization”, Chem. Matter, vol. 21, pp. 1989-1992, 2009.
Grubbs et al., “Nucleation and growth during the atomic layer deposition of W on Al2O3 and Al2O3 on W”, Thin Solid Films, vol. 467, 2004, pp. 16-27.
Hashemi et al., “A New Resist for Area Selective Atomic and Molecular Layer Deposition on Metal-Dielectric Patterns”, J. Phys. Chem. C 2014, 118, pp. 10957-10962.
Hashemi et al., “Selective Deposition of Dieletrics: Limits and Advantages of Alkanethiol Blocking Agents on Metal-Dielectric Patterns”, ACS Appl. Mater. Interfaces 2016, 8, pp. 33264-33272.
Hu et al. “Coating strategies for atomic layer deposition”, Nanotechnol. Rev. 2017; 6(6): pp. 527-547.
Hymes et al., “Surface cleaning of copper by thermal and plasma treatment in reducing and inert ambients”, J. Vac. Sci. Technol. B, vol. 16, No. 3, May/Jun. 1998, pp. 1107-1109.
King, “Dielectric Barrier, Etch Stop, and Metal Capping Materials for State of the Art and beyond Metal Interconnects”, ECS Journal of Solid State Science and Technology, vol. 4, Issue 1, pp. N3029-N3047, 2015.
Klaus et al., “Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction”, Thin Solid Films, vol. 360, 2000, pp. 145-153.
Klaus et al., “Atomically controlled growth of tungsten and tungsten nitride using sequential surface reactions”, Applied Surface Science 162-163, 2000, pp. 479-491.
Kukli et al., “Properties of hafnium oxide films grown by atomic layer deposition from hafnium tetraiodide and oxygen”, J. Appl. Phys., vol. 92, No. 10, Nov. 15, 2002, pp. 5698-5703.
Lecordier et al., “Vapor-deposited octadecanethlol masking layer on copper to enable area selective Hf3N4 atomic layer deposition on dielectrics studied by in situ spectroscopic ellipsometry”, J. Vac. Sci. Technol. A36(3), May/Jun. 2018, pp. 031605-1-031605-8.
Lee et al., “Area-Selective Atomic Layor Deposition Using Self-Assembled Monolayer and Scanning Probe Lithography”, Journal of The Electrochemical Society, vol. 156, Issue 9, pp. G125-G128, 2009.
Lei et al., “Real-time observation and opitimization of tungsten atomic layer deposition process cycle”, J. Vac. Sci. Technol. B, vol. 24, No. 2, Mar./Apr. 2006, pp. 780-789.
Lemonds, “Atomic Layer Deposition and Properties of Refractory Transition Metal-Based Copper-Diffusion Barriers for ULSI Interconnect”, The University of Texas at Austin, 2003, pp. 1-197.
Leusink et al., “Growth kinetics and inhibition of growth of chemical vapor deposited thin tungsten films on silicon from tungsten hexafluoride”, J. Appl. Phys., vol. 72, No. 2, Jul. 15, 1992, pp. 490-498.
Liang et al., “Growth of Ge Nanofilms Using Electrochemical Atomic Layer Deposition, with a “Bait and Switch” Surface-Limited Reaction”. Journal of American Chemical Society, 2011, 133, 8199-8024.
Lin et al., “Selective Deposition of Multiple Sensing Materials on Si Nanobelt Devices through Plasma-Enhanced Chemical Vapor Deposition and Device-Localized Joule Heating”, ACS Appl. Mater. Interfaces 2017, 9, 39935-39939, DOI: 10.1021/acsami.7b13896.
Lohokare et al., “Reactions of Disilane on Cu(111): Direct Observation of Competitive Dissociation, Disproportionation, and Thin Film Growth Processes”, Langmuir 1995, vol. 11, pp. 3902-3912.
Low et al., “Selective deposition of CVD iron on silicon dioxide and tungsten”, Microelectronic Engineering 83, pp. 2229-2233, 2006.
Mackus et al., “Influence of Oxygen Exposure on the Nucleation of Platinum Atomic Layer Deposition: Consequences for Film Growth”, Nanopatterning, and Nanoparticle Synthesis, Chem. Matter, vol. 25, pp. 1905-1911, 2013.
Mackus et al., “Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition”, Journal of Applied Physics, vol. 107, pp. 116102-1-116102-3, 2010.
Mackus et al., “The use of atomic layer deposition in advanced nanopatterning”, Nanoscale, 2014, 6, pp. 10941-10960.
Maluf et al., “Selective tungsten filling of sub-0.25μm trenches for the fabrication of scaled contacts and x-ray masks”, J. Vac. Sci. Technol. B, vol. 8, No. 3, May/Jun. 1990, pp. 568-569.
Norrman, et al.; 6 Studies of Spin-Coated Polymer Films; Annu. Rep. Prag. Chem.; Sect. C; 2005; 101; pp. 174-201.
Overhage et al., “Selective Atomic Layer Deposition (SALD) of Titanium Dioxide on Silicon and Copper Patterned Substrates”, Journal of Undergraduate Research 4, Mar. 29, 2011 in 4 pages.
Parulekar et al., “Atomic Layer Deposition of Zirconium Oxide on Copper Patterned Silicon Substrate”, Journal of Undergraduate Research, vol. 7, pp. 15-17, 2014.
Parulekar et al., “Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate”, pp. 1-6, 2013.
Prasittichai et al., “Area Selective Molecular Layer Deposition of Polyurea Film”, Applied Materials & Interfaces, 2013, vol. 5, pp. 13391-13396.
Proslier et al., “Atomic Layer Deposition and Superconducting Properties of NbSi Films”, The Journal of Physical Chemistry C, 2011, vol. 115, No. 50, pp. 1-26.
Putkonen, et al.; Atomic Layer Deposition of Polyimide Thin Films; Journal of Materials Chemistry; 2007, 17, pp. 664-669.
Ratta, Varun; Crystallization, Morphology, Thermal Stability and Adhesive Properties of Novel High Performance Semicrystalline Polyimides, Chapter 1; Virginia Tech Chemistry PhD Dissertation; URN # etd-051799-162256; Apr. 26, 1999.
Roberts et al., “Selective Mn deposition on Cu lines”, poster presentation, 12th International Conference on Atomic Layer Deposition, Jun. 19, 2012, Dresden, Germany.
Sapp, et al.; Thermo-Mechanical and Electrical Characterization of Through-Silicon vias with a Vapor Deposited Polyimide Dielectric Liner; IEEE; 2012.
Schmeißer, “Decomposition of formic acid”, Chemnitz University of Technology, pp. 1-13, Aug. 31, 2011.
Schmeißer, “Reduction of Copper Oxide by Formic Acid an ab-initio study”, Chemnitz University of Technology, pp. 1-42, Sep. 2011.
Schuiskly et al., “Atomic Layer Deposition of Thin Films Using O2 as Oxygen Source”, Langmuir, vol. 17, No. 18, 2001, pp. 5508-5512.
Selvaraj et al., “Selective atomic layer deposition of zirconia on copper patterned silicon substrates using ethanol as oxygen source as well as copper reductant”, Journal of Vacuum Science & Technology A, vol. 32, No. 1, pp. 010601-1-010601-4, Jan. 2014.
Senesky et al., “Aluminum nitride as a masking material for the plasma etching of silicon carbide structures,” 2010, IEEE, pp. 352-355.
Sundberg, et al.; Organic and Inorganic-Organic Thin Film Structures by Molecular Layer Deposition: A Review; Beilstein J. Nanotechnol; 2014, 5, pp. 1104-1136.
Suntola, Tuomo, “Thin Films and Epitaxy Part B: Grown mechanism and Dynamics”, Handbook of Crystal Growth vol. 3, Elsevier, 1994, 33 pages.
Ting, et al., “Selective Electroless Metal Deposition for Integrated Circuit Fabrication”, J. Electrochem. Soc., vol. 136, No. 2, Feb. 1989, pp. 456-462.
Toirov et al., “Thermal Cyclodehydration of Polyamic Acid Initiated by UV-Irradiation”, Iranian Polymer Journal; vol. 5, No. 1; pp. 16-22; 1996; Iran.
“Tungsten and Tungsten Silicide Chemical Vapor Deposition”, TimeDomain CVD, Inc., retrieved from link: http://www.timedomaincvd.com/CVD_Fundamentals/films/W_WSi.html, Last modified Jul. 11, 2008.
Vallat et al., “Selective deposition of Ta205 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps”, Journal of Vacuum Science & Technology A, vol. 35, No. 1, pp. 01B104-1-01B104-7, Jan. 2017.
Vervuurt et al., “Area-selective atomic layer deposition of platinum using photosensitive polyimide”, Nanotechnology 27, 2016, in 6 pages.
Wang et al., “Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells”, Journal of Materials Chemistry A, 2016, 4, pp. 12080-12087.
Yu et al., “Gas/surface reactions in the chemical vapor deposition of tungsten using WF6/SiH4 mixtures”, J. Vac. Sci. Technol. A, vol. 7, No. 3, May/Jun. 1989, pp. 625-629.
Zhou, et al., Fabrication of Organic Interfacial Layers by Molecular Layer Deposition: Present Status and Future Opportunities; Journal of Vacuum Science & Technology; A 31 (4), 040801-1 to 040801-18; 2013.
Lemonds, A.M., “Atomic layer deposition of TaSix thin films on SiO2 using TaF5 and Si2H6”, Thin Solid Films 488, 2005 pp. 9-14.
Atanasov et al., “Inherent substrate-dependent growth initation and selective-area atomic layer deposition of TiO2 using “water-free” metal-halide/metal alkoxide reactants”, J. Vac. Sci. Technol. A 34(1), Jan./Feb. 2016, pp. 01A148-1-01A148-9.
Jin et al., “Lanthanide metal-assisted synthesis of rhombic dodecahedral Mni (M=Ir and Pt) nanoframes toward efficient oxygen evolution catalysis”, Nano Energy 42, 2017, pp. 17-25.
Parsons et al., “Area-Selective Deposition: Fundamentals, Applications, and Future Outlook”, Chemistry of Materials, 2020, 32, 12, pp. 4920-4953.
Priimagi et al., “The Halogen Bond in the Design of Functional Supramolecular Materials: Recent Advances”, Accounts of Chemical Research, 2013, vol. 46, No. 11, pp. 2686-2695.
Singh et al, “Area- Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation”, Chem. Mater. 2018, 30, pp. 663-670.
Cai et al., “Selective Passivation of Pt Nanoparticles with Enhanced Sintering Resistance and Activity toward CO Oxidation via Atomic Layer Deposition”, ACS Appl. Nano Mater. 2018, 1, 2, pp. 522-530.
Mameli et al., Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle, ACS Nano, Aug. 29, 2017, 9303-9311, 11, 9, American Chemical Society.
Soethoudt et al, “Impact of SiO2 surface composition on trimethylsilane passivation for area-selective deposition”, J. Mater. Chem. C, 2019, 7, pp. 11911-11918.
Tomczak, Y., In Situ Reaction Mechanism Studies on Atomic Layer Deposition of AlxSiyOz from Trimethylaluminium, Hexakis(ethylamino)disilane, and Water, Chem. Mater., Oct. 12, 2012, 3859-3867, 24, American Chemical Society.
Related Publications (1)
Number Date Country
20210301392 A1 Sep 2021 US
Provisional Applications (1)
Number Date Country
63002136 Mar 2020 US