Semiconductor processing system

Information

  • Patent Grant
  • 11749555
  • Patent Number
    11,749,555
  • Date Filed
    Friday, December 6, 2019
    4 years ago
  • Date Issued
    Tuesday, September 5, 2023
    8 months ago
Abstract
Embodiments of the disclosure relate to an apparatus and method for processing semiconductor substrates. In one embodiment, a processing system is disclosed. The processing system includes an outer chamber that surrounds an inner chamber. The inner chamber includes a substrate support upon which a substrate is positioned during processing. The inner chamber is configured to have an internal volume that, when isolated from an internal volume of the outer chamber, is changeable such that the pressure within the internal volume of the inner chamber may be varied.
Description
BACKGROUND
Field

Embodiments of the disclosure generally relate to fabrication of integrated circuits and particularly to a system and method for processing semiconductor substrates.


Description of the Related Art

Formation of a semiconductor device, such as memory devices, logic devices, microprocessors etc. involves deposition of one or more films over a semiconductor substrate. The films are used to create the circuitry required to manufacture the semiconductor device. Annealing is a heat treatment process used to achieve various effects on the deposited films to improve their electrical properties. For example, annealing can be used to activate dopants, densify the deposited films, or change states of grown films.


Maintaining a low thermal budget (i.e., less than about 400 degrees Celsius) is desirable to improve film quality in both deposition and anneal applications. However, due to small device geometries and film thicknesses, obtaining desired processing results at such low low thermal budgets is extremely challenging.


Thus, there is a need for an improved system and method for processing semiconductor substrates that can accommodate the challenges associated with manufacturing modern semiconductor devices.


SUMMARY

Embodiments of the disclosure relate to a system and method for processing semiconductor substrates. In one embodiment, a processing system is disclosed. The processing system includes an outer chamber that surrounds an inner chamber. The inner chamber includes a substrate support upon which a substrate is positioned during processing. The inner chamber is configured to have an internal volume that, when isolated from an internal volume of the outer chamber, is changeable such that the pressure within the internal volume of the inner chamber may be varied.


In another example, a method of processing a substrate in a processing system is provided. The method includes loading a substrate into an internal volume of an inner chamber that is surrounded by an outer chamber, reducing the internal volume of the inner chamber having the substrate therein, and processing the substrate in the reduced internal volume.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, may admit to other equally effective embodiments.



FIG. 1 is a simplified front cross-sectional view of a semiconductor processing system, the semiconductor processing system having an internal volume of an inner chamber at a first volume.



FIG. 2 is a simplified front cross-sectional view of the semiconductor processing system of FIG. 1 having the internal volume of the inner chamber at a second volume.



FIG. 3 is a simplified front cross-sectional view of the semiconductor processing system of FIG. 1 having the internal volume of the inner chamber at a third volume.



FIG. 4 is a simplified front cross-sectional view of a semiconductor processing system, the semiconductor processing system having an internal volume of an inner chamber at a first volume.



FIG. 5 is a simplified front cross-sectional view of the semiconductor processing system of FIG. 4 having the internal volume of the inner chamber at a second volume.



FIG. 6 is a simplified front cross-sectional view of the semiconductor processing system of FIG. 4 having the internal volume of the inner chamber at a third volume.



FIG. 7 is a simplified front cross-sectional view of a semiconductor processing system, the semiconductor processing system having an internal volume of an inner chamber at a first volume.





To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.


DETAILED DESCRIPTION

Embodiments of the disclosure relate to an apparatus and method for processing semiconductor substrates. The substrates may be annealed, have a film deposited thereon, or processed in another manner inside an inner chamber that is disposed within an outer chamber. During processing, a substrate disposed in the inner chamber is exposed to a processing fluid under high pressure at a high temperature. The pressure within the inner chamber is controlled, at least in part, by changing the volume of the inner chamber. Advantageously, controlling the pressure of the inner chamber by changing the volume allows for less processing fluid to be utilized when processing the substrate. Additionally, processing fluid can be delivered at low pressure prior to decreasing the volume for increasing the pressure within the processing chamber for processing the substrate, such that less expensive and less complicated fluid delivery systems may be utilized, while also making the system more accommodating to a wider variety of processing fluids.


In practice, the processing fluid is flowed from a supply through a fluid circuit into the inner chamber holding the substrate to be processed. The fluid circuit may be temperature-controlled to prevent condensation of the processing fluid. For example, the processing fluid may be maintained at a temperature above the condensation point of the processing fluid by one or more heaters coupled to the fluid circuit. The fluid circuit is coupled to an exhaust system, which may include a condenser where the processing fluid is condensed into liquid phase after processing the substrate is complete.



FIG. 1 is simplified front cross-sectional view of a processing system 100 for high-pressure processing of substrates. The processing system 100 has a an outer chamber 102 that surrounds an inner chamber 104. The inner chamber 104 includes a substrate support 160 on which a substrate 168 is processed. A fluid handling system 130 is coupled to the inner chamber 104 to provide processing fluid to the inner chamber 104 for processing the substrate 168.


The outer chamber 102 includes sidewalls 106, a top 108 and a bottom 110 that encloses an internal volume 148. The inner chamber 104 resides essentially within the internal volume 148 of the outer chamber 102. A slit valve opening 112 is formed through one of the sidewalls 106 of the outer chamber 102 to allow entry and egress of the substrate 168 between the system 100 and an adjacent chamber 116 of a vacuum processing system, such as a cluster tool, to which the system 100 is attached. The slit valve opening 112 is selectively sealed by a slit valve door 114.


The bottom 110 or other portion of the outer chamber 102 includes an exhaust port 156 that is coupled to a pumping system 154. The pumping system 154 is utilized to regulate the pressure (i.e., vacuum) within the internal volume 148 of the outer chamber 102. The pumping system 154 may maintain the pressure within the internal volume 148 of the outer chamber 102 between about 1 mTorr to about 600 Torr.


The inner chamber 104 may be made from a corrosion resistant steel (CRS), such as but not limited to stainless steel. Interior surfaces of the inner chamber 104 may be made from or covered with nickel-based steel alloys that exhibit high resistance to corrosion, such as but not limited to HASTELLOY®, ICONEL®, and MONEL®. Optionally, the inner chamber 104 may be fabricated from a nickel-based steel alloy.


The inner chamber 104 includes sidewalls 120, a top 122 and a bottom 124 that encloses an inner chamber volume 118. The inner chamber volume 118 is bifurcated by the substrate support 160 into an internal (processing) volume 150 defined between the substrate support 160 and the top 122 of inner chamber 104, and a secondary volume 152 defined between the substrate support 160 and the bottom 124 of inner chamber 104. A substrate access port 126 is formed through the one of the sidewalls 120 of the inner chamber 104 and aligns with the slit valve opening 112 of the outer chamber 102 to allow entry and egress of the substrate 168 between the internal volume 150 and the adjacent chamber 116 of a vacuum processing system utilizing a single motion of a robot blade. The substrate access port 126 does not require sealing and may be maintained in an open state throughout operation of the processing system 100. Advantageously, a non-sealing substrate access port 126 eliminates the need and associated costs of a slit valve door. Of course, the substrate access port 126 may alternatively be configured to be selectively sealed by a slit valve door if desired.


The top 108 and at least an upper portion of the sidewalls 120 of the inner chamber 104 include heaters 144. The heaters 144 are utilized to maintain the top 108 and the upper portion of the sidewalls 120 of the inner chamber 104 at a temperature sufficiently high enough to substantially prevent condensation of the processing fluids present with the internal volume 150 of the inner chamber 104. In one example, the heaters 144 are resistive heaters that are coupled to a heater power source 146.


At least one port 132 is disposed through the top 108 and/or sidewalls 120 of the inner chamber 104 for providing processing fluid and/or other fluids into the internal volume 150 of the inner chamber 104. The port 132 may be disposed in a center of the top 120 of the inner chamber 104, at the sides of the top 120 of the inner chamber 104 (as shown in phantom) or in another suitable location. In one example, a plurality of ports 132 are symmetrically arranged around a centerline of the inner chamber 104 that extends perpendicularly through the top 120.


A fluid handling system 130 is coupled to the at least one port 132 by a fluid circuit 142. The fluid handling system 130 includes a fluid source 134 to provide at least processing fluid into the internal volume 150 of the inner chamber 104 through the port 132. The fluid source 134 may include a vaporizer. It is contemplated that the processing fluid provided by the fluid source 134 is selected according to the process requirements for the desired process to be performed on the substrate 168 in the processing system 100. The fluid source 134 provides processing fluids which may comprise an oxygen-containing and/or nitrogen-containing gas, such as oxygen, steam, water, hydrogen peroxide, and/or ammonia. Alternatively or in addition to the oxygen-containing and/or nitrogen-containing gases, the processing fluid may comprise a silicon-containing gas such as but not limited to organosilicon, tetraalkyl orthosilicate gases and disiloxane gases. In some embodiments, the processing fluid may be steam, dry steam or superheated steam. When a single port 132 is utilized, the fluid handling system 130 may not only provide processing fluid into the internal volume 150 of the inner chamber 104, but also exhaust processing or other fluids from the internal volume 150 of the inner chamber 104 to a fluid exhaust system 136 after processing the substrate. Alternatively, the fluid source 134 of the fluid handling system 130 may be coupled to the internal volume 150 of the inner chamber 104 through multiple ports 132, for example, with one port 132 utilized to provide processing fluid into the internal volume 150 of the inner chamber 104 while a second port 132 utilized to exhaust processing or other fluids from the internal volume 150 of the inner chamber 104 to the fluid exhaust system 136. The fluid exhaust system 136 may include a condenser for condensing fluids exiting the internal volume 150 of the inner chamber 104.


The fluid handling system 130 may optionally include a remote plasma source (RPS) 138. The RPS 138 provides disassociated cleaning species, such as one or more of fluorine, hydrogen and oxygen, into the internal volume 150 of the inner chamber 104 for removing processing byproducts from the surfaces exposed to the internal volume 150.


The fluid circuit 142 of the fluid handling system 130 also includes a plurality of isolation valves 140. The isolation valves 140 are utilized to selectively connect and isolate the fluid source 134, the exhaust system 136 and the RPS 138 from the internal volume 150. One or more of the isolation valves 140 may be set to a closed state to statically seal the processing fluids within the internal volume 150. The fluid circuit 142 may additionally include heaters (not shown) to maintain a processing fluid flowing through the fluid circuit 142 at a temperature above the condensation point of the processing fluid.


The substrate support 160 resides within the inner chamber volume 118 of the inner chamber 104. The substrate support 160 may be fabricated from a material suitable for processing the substrate 168 in a high temperature/high pressure environment. In one example, the substrate support 160 is fabricated from stainless steel. The substrate support 160 includes an upper surface (i.e., a substrate support surface) 166, an outer wall 128 and a bottom surface 158. A heater 170 is disposed in the substrate support 160 and is utilized to heat the substrate 168 during processing. The heater 170 may be a resistive or other suitable heating element, such as channels for flowing a heat transfer fluid, among others. In the example depicted in FIG. 1, the heater 170 is a resistive heating element that is coupled to a power source 172.


A seal 186 is disposed between the outer wall 128 of the substrate support 160 and the sidewalls 120 of the inner chamber 104. The seal 186 is a dynamic seal that allows the substrate support 160 to move relative to the sidewalls 120 without loss of the integrity of the seal. The seal 186 may be a piston seal, metal seal, polymer seal or hybrid (metal/polymer) seal. In one example, the seal 186 may made from high-temperature polymer (i.e., 300 degrees Celsius), such as but not limited to a perfluoroelastomer. The seal 186 may be a metal piston seal with a carbon coating. The seal 186 may be spring loaded or inflatable. The seal 186 also separates the internal volume 150 from the secondary volume 152.


The substrate support 160 is coupled by a stem 162 to a lift 164. The lift 164 may be a hydraulic or pneumatic actuator, a linear actuator, a lead screw, or other actuator suitable of generating a large force sufficient to maintain the position of the substrate support 160 in a high pressure environment, as further discussed below. The lift 164 is utilized to elevate and lower the substrate support 160 within the inner chamber volume 118 of the inner chamber 104. For example, the lift 164 may move the substrate support 160 to a lower position below the substrate transfer port 126 to allow robotic transfer of the substrate 168 onto and off of the substrate support surface 166. In the lower position, the distance between the substrate support surface 166 and the top 122 of the inner chamber 104 is shown as HT. The lift 164 also elevates the substrate support 160 above the substrate transfer port 126 such that the volume of the internal volume 150 is reduced. The motion of the substrate support 160 towards the top 122 of the inner chamber 104 may be utilized to, or at least to assist, increasing the pressure within the internal volume 150, for example, when the isolation valves 140 of the fluid circuit 142 are closed.


A plurality of lift pins 174 are disposed in the substrate support 160 to facilitate substrate transfer. The lift pins 174 are disposed in lift pin holes 176 formed through the substrate support 160. The lift pin holes 176 exit the substrate support surface 166 of the substrate support 160 and may also exit the bottom surface 158 of the substrate support 160. Optionally, and in other embodiments, the lift pin holes 176 may be blind holes only open to the substrate support surface 166 of the substrate support 160 while being sealed off from the bottom surface 158 of the substrate support 160. Particularly in embodiments where the volumes 150, 152 that remain isolated at all times such as in the examples depicted in FIGS. 4-7, the holes 176 do not extend through the substrate support 160 to prevent fluid communication between the volumes 150, 152 through the holes 176.


A portion of the lift pin holes 176 reside in a boss 188 projecting from the bottom surface 158 of the substrate support 160. The boss 188, at least when the substrate support 160 is in the lower position shown in FIG. 1, extends through an aperture 180 formed through the bottom 124 of the inner chamber 104.


A magnet 178 is disposed adjacent the aperture 180. A complimentary magnet 190 is disposed at the lower end of the lift pin 174. One of the magnets 178, 190 may, instead of being made of a magnetic material (or an electromagnet), be a material that magnetically is attracted to or repelled by the other of the magnets 178, 190. Thus, as the substrate support 160 is lowered and the boss 188 moves axially adjacent the magnet 178, the magnet 190 causes the lift pins 174 to become stationary relative to the bottom 124 of the inner chamber 104, such that the end of the lift pins 174 proximate the substrate support surface 166 begin to extend from the substrate support surface 166 and space the substrate 168 from the substrate support 160 as the substrate support 160 continues to be lowered by the lift 164. Conversely, as the substrate support 160 is elevated, the lift pins 174 retract back into the substrate support 160, allowing the substrate 168 to become seated on the substrate support surface 166 of the substrate support 160. The lift pins 174 may have a flared head or other geometric to prevent the lift pins 174 from sliding out through the bottom of the lift pin holes 176. Optionally, the lift pin holes 176 may be blind holes which also prevent the lift pins 174 from passing out through the bottom of the lift pin holes 176. In yet another example, the magnets 178, 190 may prevent the lift pins 174 from sliding out through the bottom of the lift pin holes 176.


In operation, the internal volumes 148, 150 of the inner and outer chambers 102, 104 are fluidly connected and maintained in a vacuum condition as the substrate 168 is robotically loaded on the substrate support 160 through the slit valve opening 112 and substrate transfer port 126 from the adjacent chamber 116 while the substrate support 160 is in the lowered position as shown in FIG. 1. The internal volumes 148, 150 are generally filled with an inert gas or simply at vacuum.


Once the substrate 168 is disposed on the substrate support 160, the slit valve opening 112 is closed and the substrate support 160 is elevated to an intermediate elevation, such as shown in FIG. 2. At the intermediate elevation, the distance between the substrate support surface 166 and the top 122 of the inner chamber 104 is shown as HI. Since the substrate support 160 at HI is above the substrate transfer port 126, the seal 186 functions to isolate the internal volume 150 of the inner chamber 104 from the internal volume 148 of the outer chamber 102. At HI, the internal volume 150′ above the substrate support 160 is much smaller than the internal volume 150 shown in FIG. 1 while the substrate support 160 is at HT.


While at the intermediate elevation, processing fluids from the source 134 are provided into the internal volume 150′. Since the internal volume 150′ is smaller than the internal volume 150, advantageously less processing fluid is need to fill the volume 150′. Moreover, the processing fluid is may be provided to the volume 150′ though the port 132 at relatively low pressures (i.e., less than 5 Bar, such as between 0 and 5 Bar, for example at 1 Bar).


Once the processing fluid is disposed in the volume 150′, the substrate support 160 is further elevated to a processing elevation, such as shown in FIG. 3. At the processing elevation, the distance between the substrate support surface 166 and the top 122 of the inner chamber 104 is shown as HP. HP is less than Hi, and Hi is less than HT. At HP, the internal volume 150″ above the substrate support 160 is much smaller than the internal volume 150′ shown in FIG. 2. The reduction in volume caused by moving the substrate support 160 from Hi to HP causes the pressure of the processing fluid within the internal volume 150″ to increase to a high pressure (i.e., greater than 5 Bar, such as 50 Bar up to 100 Bar). Thus, the lift 164 has sufficient stroke and force to move the substrate support 160 to generate and hold such high pressures within the internal volume 150″ of the inner chamber 104.


The increase in pressure may be accommodated solely by the lift 164 providing sufficient force for moving and holding the substrate support 160 while the seal 186 and isolation valves 140 maintain the internal volume 150″ in a static condition. Alternatively, some of the increase in pressure may be provided by delivering a pressure control gas from the fluid handling system 130 to the internal volume 150″. The pressure control may be a reactive gas or an inert gas, such as but not limited to nitrogen, argon, and the like, or other suitable gas(es).


At least at the intermediate and processing elevations, the top 122 and portion of the sidewalls 120 exposed to the processing fluid are maintained at a temperature that prevents condensation of the processing fluid within the inner chamber 104. The substrate 168 is also heated by the heater 170. The substrate 168 may be heated by the heater 170 to a temperature above 200 degrees Celsius, such as between 300 and about 450 degrees Celsius, or even up to about 600 degrees Celsius.


While at the processing elevation, the substrate 168 is processed. Processing the substrate 168 may include one or more of depositing a film on the substrate 168, annealing a film on the substrate 168, and densification of a film on the substrate 168, among other processes. Some types of films that may be deposited, annealed, densified or otherwise processed include metal films, oxide films, copper films, and cobalt films, among others. After processing is complete, the isolation valve 140 coupling the exhaust system 136 to the internal volume 150″ is opened, allowing the processing fluid to be removed from the inner chamber 104 without condensing within the internal volume 150″. Optionally, the exhaust system 136 may be coupled to the inner chamber volume 118 after the substrate support 160 is lowered from the HP position.


After processing, the substrate support 160 is lowered to the transfer position shown in FIG. 1 to allow the substrate 168 to be removed from the inner chamber 104 and returned to the adjacent chamber 116. Once the substrate 168 is removed, the RPS 138 may provide a cleaning agent to the internal volume 150 to remove residuals and/or process by-products. Before or after cleaning, a purge gas may be provided to the internal volume 150 from the fluid source 135. The purge gas may be an inert gas, such as but not limited to nitrogen, air, argon, and the like.



FIG. 4 is a simplified front cross-sectional view of another semiconductor processing system 400. The semiconductor processing system 400 is generally configured and operable essentially the same as the semiconductor processing system 100 described above, except that the lift 164 of the system 100 is replaced by a drive cylinder 430 incorporated into an inner chamber 404.


More specifically, the semiconductor processing system 400 includes an outer chamber 102 that surrounds the inner chamber 404. The semiconductor processing system 400 has a fluid handling system 130 and pumping system 154 that are configured and operate as described above.


The inner chamber 404 may be made from the same materials as the inner chamber 104 described above. The inner chamber 404 includes sidewalls 120, a top 122 and a bottom 424 that encloses an inner chamber volume 118. A substrate support 160 is disposed in the inner chamber volume 118. A substrate access port 126 is formed through the one of the sidewalls 120 of the inner chamber 404 and aligns with the slit valve opening 112 of the outer chamber 102. As with the system 100, the substrate access port 126 does not require sealing, and as shown in FIG. 4, does not have a door for closing the port 126.


The top 108 and at least an upper portion of the sidewalls 120 of the inner chamber 404 include heaters 144 coupled to a heater power source 146. At least one port 132 is disposed through the top 108 and/or sidewalls 120 of the inner chamber 404 for providing processing fluid and/or other fluids into the internal volume 150 of the inner chamber 404 from the fluid handling system 130.


A ledge 432 extends inward from the sidewalls 120 of the inner chamber 404 below the substrate support 160 to retain the magnets 178. In one example, the ledge 432 may be configured as a plurality of tabs. The magnets 178 cooperate with the magnets 190 disposed in the substrate support 160 to function in the same manner as described above with reference to the processing system 100.


The drive cylinder 430 is defined between a piston 440 and the bottom 424 of the inner chamber 404. The piston 440 is coupled to the substrate support 160 by a stem 162. The inner chamber volume 118 is split by the substrate support 160 and piston 440 into three volumes. An internal (processing) volume 150 defined between the substrate support 160 and the top 122 of inner chamber 404. A secondary volume 152 is defined between the substrate support 160 and the piston 440. The flange 432 extends into the secondary volume 152. A working fluid volume 452 defined between the piston 440 and the bottom 124 of inner chamber 404. In this example, the secondary volume 152 remains isolated from both the internal volume 150 and the working fluid volume 452. The secondary volume 152 generally remains at the same pressure as the internal volume 148 of the outer chamber 102. Additionally, the internal volume 150 and the working fluid volume 452 are always isolated from each other. The internal and working fluid volumes 150, 452 are alternatively coupled to the internal volume 148 of the outer chamber 102 depending on the elevation of the substrate support 160.


A seal 186 is disposed between an outer wall 128 of the substrate support 160 and a portion of the sidewalls 120 of the inner chamber 404 that is located between the flange 432 and the top 122 of the inner chamber 404. The seal 186 is configured and functions as described above with reference to the processing system 100. The seal 186 separates the internal volume 150 from the secondary volume 152.


A seal 486 is disposed between the piston 440 and a portion of the sidewalls 120 of the inner chamber 404 that is located between the flange 432 and the bottom 124 of the inner chamber 404. The seal 486 is similar to the seal 186 as described above, although the seal 486 may be fabricated from lower temperature polymers as the seal 486 is spaced from the heaters 144, 170. The seal 486 separates the secondary volume 152 from the working fluid volume 452.


A working fluid source 410 is coupled to the working fluid volume 452 through a port 402 formed in the bottom 424 of the inner chamber 404. The working fluid source 410 includes a pump or other pressure generating device that is operable to drive working fluid into the working fluid volume 452. As working fluid, such as air, nitrogen, or other inert gas, is driven into working fluid volume 452, the pressure differential across the volumes 148, 150, 452 causes the substrate support 160 to move towards the top 122 so as to reduce the volume 150 and consequentially increase the pressure therein.


In operation, the internal volumes 148, 150 of the inner and outer chambers 102, 404 are fluidly connected and maintained in a vacuum condition as the substrate 168 is robotically loaded on the substrate support 160 through the slit valve opening 112 and substrate transfer port 126 from the adjacent chamber 116. The substrate support 160 is in the lowered position as shown in FIG. 4.


Once the substrate 168 is disposed on the substrate support 160, the slit valve opening 112 is closed and the substrate support 160 is elevated to an intermediate elevation, such as shown in FIG. 5, by pressurizing the working fluid volume 452 below the piston 440. At the intermediate elevation, the distance between the substrate support 160 and the top 122 of the inner chamber 404 is shown as HI. Since the substrate support 160 at HI is above the substrate transfer port 126, the seal 186 functions isolates the internal volume 150 of the inner chamber 404 from the internal volume 148 of the outer chamber 102. At HI, the internal volume 150′ above the substrate support 160 is much smaller than the internal volume 150 shown in FIG. 1 while the substrate support 160 is at HT.


While at the intermediate elevation, processing fluids from the source 134 are provided into the internal volume 150′. The processing fluid is may be provided to the volume 150′ though the port 132 at relatively low pressures (i.e., less than 5 Bar, such as between 0 and 5 Bar, for example at 1 Bar).


Once the processing fluid is disposed in the volume 150′, the substrate support 160 is further elevated to a processing elevation, such as shown in FIG. 6. by driving additional working fluid into the working fluid volume 452. At the processing elevation, the distance between the substrate support surface 166 and the top 122 of the inner chamber 404 is shown as HP. At HP, the internal volume 150″ above the substrate support 160 is much smaller than the internal volume 150′ shown in FIG. 2 while the substrate support 160 is at HI. This reduction in volume causes the pressure of the processing fluid within the internal volume 150″ to increase to a high pressure (i.e., greater than 5 Bar, such as 50 Bar up to 100 Bar). Thus, the working fluid source 410 can provide sufficient fluid and pressure to to move the substrate support 160 to generate and hold such high pressures within the internal volume 150″ of the inner chamber 404.


While in the processing elevation, the substrate 168 is processed as described above. Similarly, after processing, the substrate support 160 is lowered to the transfer position shown in FIG. 4 by removing working fluid from the working fluid volume 452 to allow the substrate 168 to be removed from the inner chamber 404 and transferred into the adjacent chamber 116.



FIG. 7 is a simplified front cross-sectional view of a semiconductor processing system 700. The semiconductor processing system 700 is generally configured and operable essentially the same as the semiconductor processing system 400 described above, except that a diameter of a drive cylinder 630 of the system 700 is larger than a diameter of a substrate support 160 disposed in an inner chamber 704.


More specifically, the inner chamber 704 includes stepped sidewalls 720, a top 122 and a bottom 424 that encloses an inner chamber volume 118. The stepped sidewalls 720 include an upper sidewall 722 terminating at the top 122, and a lower sidewall 724 terminating at the bottom 424. A substrate support 160 is disposed in the inner chamber volume 118 and is circumscribed by the upper sidewall 722. A substrate access port 126 is formed through the upper sidewall 722 of the inner chamber 404 and aligns with the slit valve opening 112 of the outer chamber 102. As with the system 400, the substrate access port 126 does not require sealing and as shown in FIG. 4, does not have a door for closing the port 126. The inner chamber 704 may be made from the same materials as the inner chamber 104 described above.


The top 108 and the upper sidewall 722 of the inner chamber 404 include heaters 144 coupled to a heater power source 146. At least one port 132 is disposed through the top 108 and/or upper sidewall 722 of the inner chamber 404 for providing processing fluid and/or other fluids into the internal volume 150 of the inner chamber 404 from the fluid handling system 130.


A ledge (or tabs) 432 extends inward from the sidewalls 120 of the inner chamber 404 below the substrate support 160 to retain the magnets 178. The ledge 432 may be coupled to either of the upper or lower sidewalls 722, 724. The magnets 178 cooperate with the magnets 190 disposed in the substrate support 160 to function in the same manner as described above with reference to the processing system 100.


The drive cylinder 730 is defined between a piston 740, the lower sidewall 724, and the bottom 442 of the inner chamber 404. The piston 740 is coupled to the substrate support 160 by a stem 162. The piston 740 has a diameter greater than a diameter of the substrate support 160.


Similar to the system 400, the inner chamber volume 118 is split by the substrate support 160 and the piston 740 into an internal (processing) volume 150, a secondary volume 152, and a working fluid volume 452. Since the diameter of the working fluid volume 452 (defined by the piston diameter) is greater than a diameter of the internal volume 150 (defined by the substrate support diameter), the pressure generated by the working fluid providing to the working fluid volume 452 will advantageously generate a larger pressure in the internal volume 150. Other than this difference, the systems 400, 700 operate in the same manner.


The systems 100, 400, 700 described above allow substrates to be advantageously processed at low temperatures and at high pressures. Controlling processing pressure at least in part by reducing the volume of the processing volume beneficially allows less processing fluids to be utilized, while the simplifying fluid delivery system. The simplified fluid delivery system, which does not require extensive high pressure hardware and controls, is less costly and enables use of a wider variety of fluids.


While the foregoing is directed to particular embodiments of the present disclosure, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present invention. It is therefore to be understood that numerous modifications may be made to the illustrative embodiments to arrive at other embodiments without departing from the spirit and scope of the present inventions, as defined by the appended claims.

Claims
  • 1. A substrate processing system comprising: an inner chamber having a substrate access port and an inner chamber internal volume;an outer chamber surrounding the inner chamber, the outer chamber having an outer chamber internal volume, a slit valve door and a slit valve opening aligned with the substrate access port configured to allow egress of a substrate utilizing a single motion of a robot blade extending through the slit valve opening into the substrate access port, the outer chamber configured to operate at a pressure between about 1 mTorr to about 600 Torr, the inner chamber internal volume configured that, when isolated from the outer chamber internal volume, is changeable such that the pressure within the inner chamber internal volume may be varied while the outer chamber is maintained under vacuum;a substrate support disposed in the inner chamber internal volume upon which the substrate is positioned during processing; and whereinthe inner chamber internal volume having an upper volume defined above the substrate support and a lower volume defined below the substrate support, wherein the upper volume and lower volume are fluidly isolated when the substrate is in a raised processing position and in a lowered transfer position, and wherein substrate access port is adjacent with the upper volume when the substrate support is in the lowered transfer position and adjacent with the lower volume when the substrate support is in the raised processing position.
  • 2. The substrate processing system of claim 1 wherein the upper volume is fluidly connected to the outer chamber through the substrate access port when the substrate support is in the lowered transfer position, and the lower volume is fluidly connected to the outer chamber through the substrate access port when the substrate support is in the raised process position.
  • 3. The substrate processing system of claim 2 further comprising: a pneumatic or hydraulic external cylinder outside of the inner chamber internal volume, the pneumatic or hydraulic cylinder coupled to the substrate support and configured to move the substrate support between the raised process position reducing the upper volume and the lowered transfer position increasing the upper volume.
  • 4. The substrate processing system of claim 3 wherein a force provided by the external cylinder results in between about 5 bar and about 100 bar acting on the substrate support.
  • 5. The substrate processing system of claim 2 further comprising: a lift disposed in the inner volume, the lift coupled to the substrate support and configured to move the substrate support between the raised process position and the lowered transfer position.
  • 6. The substrate processing system of claim 5 wherein the lift is one of a hydraulic or pneumatic actuator, a linear actuator, a lead screw, or other actuator suitable of generating a large force sufficient to maintain the position of the substrate support in a high pressure environment.
  • 7. The substrate processing system of claim 5 wherein the lift has sufficient stroke and force to move the substrate support to generate and hold high pressures within the upper volume exceeding about 5 bar.
  • 8. A substrate processing system comprising: an outer chamber having an internal volume, a slit valve door, and a slit valve opening, the outer chamber configured to operate at a pressure of between about 1 mTorr to about 600 Torr;an inner chamber disposed within the internal volume of the outer chamber, the inner chamber having sidewalls an inner volume, and a substrate access port aligned with the slit valve door configured to allow egress of the substrate utilizing a single motion of a robot blade extending through the slit valve opening into the substrate access port, the inner volume is changeable such that the pressure within the inner volume may be varied while the outer chamber is maintained under vacuum;a substrate support having an outer wall, the substrate support disposed in the inner volume and moveable between an top position and a bottom position; whereinthe inner chamber internal volume having an upper volume defined above the substrate support and a lower volume defined below the substrate support, wherein the upper volume and lower volume are fluidly isolated when the substrate is in the top position and in the bottom position, and wherein substrate access port is adjacent with the upper volume when the substrate support is in the bottom position and adjacent with the lower volume when the substrate support is in the top position; anda seal disposed between the outer wall and the sidewall wherein the pressure differential across the seal is greater than 1 Bar when the substrate support is in the top position.
  • 9. The substrate processing system of claim 8 wherein the upper volume is fluidly connected to the outer chamber through the substrate access port when the substrate support is in the bottom position, and the lower volume is fluidly connected to the outer chamber through the substrate access port when the substrate support is in the top position.
  • 10. The substrate processing system of claim 9 further comprising: a pneumatic or hydraulic external cylinder outside of the inner volume, the pneumatic or hydraulic cylinder coupled to the substrate support and configured to move the substrate support between the top position reducing the upper volume and the bottom position increasing the upper volume.
  • 11. The substrate processing system of claim 10 wherein a force provided by the external cylinder that results in between about 5 bar and about 100 bar acting on the substrate support.
  • 12. The substrate processing system of claim 9 further comprising: a lift disposed in the inner volume, the lift coupled to the substrate support and configured to move the substrate support between the top position and the bottom position.
  • 13. The substrate processing system of claim 12 wherein the lift is one of a hydraulic or pneumatic actuator, a linear actuator, a lead screw, or other actuator suitable of generating a large force sufficient to maintain the position of the substrate support in a high pressure environment.
  • 14. The substrate processing system of claim 12 wherein the lift has sufficient stroke and force to move the substrate support to generate and hold high pressures within the upper volume.
  • 15. The substrate processing system of claim 14 wherein high pressures within the upper volume results from 100 bar acting on the substrate support.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims benefit of U.S. Provisional Application Ser. No. 62/776,893, filed Dec. 7, 2018, of which is incorporated by reference in its entirety.

US Referenced Citations (566)
Number Name Date Kind
3684592 Chang et al. Aug 1972 A
3749383 Voigt et al. Jul 1973 A
3758316 Sowards et al. Sep 1973 A
4409260 Pastor et al. Oct 1983 A
4424101 Nowicki Jan 1984 A
4524587 Kantor Jun 1985 A
4576652 Hovel et al. Mar 1986 A
4589193 Goth et al. May 1986 A
4879259 Reynolds et al. Nov 1989 A
5050540 Lindberg Sep 1991 A
5114513 Hosokawa et al. May 1992 A
5126117 Schumacher et al. Jun 1992 A
5149378 Ohmi et al. Sep 1992 A
5167717 Boitnott Dec 1992 A
5175123 Vasquez et al. Dec 1992 A
5300320 Barron et al. Apr 1994 A
5314541 Saito et al. May 1994 A
5314574 Takahashi May 1994 A
5319212 Tokoro Jun 1994 A
5366905 Mukai Nov 1994 A
5472812 Sekine Dec 1995 A
5578132 Yamaga et al. Nov 1996 A
5590695 Siegele et al. Jan 1997 A
5597439 Salzman Jan 1997 A
5620524 Fan et al. Apr 1997 A
5677230 Weitzel et al. Oct 1997 A
5747383 Chen et al. May 1998 A
5808245 Wiese et al. Sep 1998 A
5857368 Grunes et al. Jan 1999 A
5858051 Komiyama et al. Jan 1999 A
5877087 Mosely et al. Mar 1999 A
5879756 Fathi et al. Mar 1999 A
5880041 Ong Mar 1999 A
5886864 Dvorsky Mar 1999 A
5888888 Talwar et al. Mar 1999 A
5918149 Besser et al. Jun 1999 A
5940985 Kamikawa et al. Aug 1999 A
6071810 Wada et al. Jun 2000 A
6077571 Kaloyeros Jun 2000 A
6082950 Altwood et al. Jul 2000 A
6086730 Liu Jul 2000 A
6103585 Michaelis Aug 2000 A
6136664 Economikos et al. Oct 2000 A
6140235 Yao et al. Oct 2000 A
6150286 Sun et al. Nov 2000 A
6164412 Allman Dec 2000 A
6183564 Reynolds Feb 2001 B1
6207487 Kim et al. Mar 2001 B1
6242368 Holmer et al. Jun 2001 B1
6242808 Shimizu et al. Jun 2001 B1
6251242 Fu et al. Jun 2001 B1
6251751 Chu et al. Jun 2001 B1
6277249 Gopalraja et al. Aug 2001 B1
6284646 Leem Sep 2001 B1
6299753 Chao et al. Oct 2001 B1
6305314 Sneh et al. Oct 2001 B1
6319766 Bakli et al. Nov 2001 B1
6319847 Ishikawa Nov 2001 B1
6334249 Hsu Jan 2002 B2
6334266 Moritz et al. Jan 2002 B1
6335240 Kim et al. Jan 2002 B1
6344249 Maruyama et al. Feb 2002 B1
6344419 Forster et al. Feb 2002 B1
6348376 Lim et al. Feb 2002 B2
6355558 Dixit Mar 2002 B1
6358829 Yoon et al. Mar 2002 B2
6368412 Gomi Apr 2002 B1
6372598 Kang et al. Apr 2002 B2
6387764 Curtis et al. May 2002 B1
6395094 Tanaka May 2002 B1
6399486 Chen et al. Jun 2002 B1
6399491 Jeon et al. Jun 2002 B2
6409837 Hillman Jun 2002 B1
6416822 Chiang et al. Jul 2002 B1
6428859 Chiang et al. Aug 2002 B1
6442980 Preston et al. Sep 2002 B2
6451119 Sneh et al. Sep 2002 B2
6451695 Sneh Sep 2002 B2
6458701 Chae et al. Oct 2002 B1
6464779 Powell et al. Oct 2002 B1
6468490 Shamouilian et al. Oct 2002 B1
6468924 Lee et al. Oct 2002 B2
6475910 Sneh Nov 2002 B1
6478872 Chae et al. Nov 2002 B1
6482262 Elers et al. Nov 2002 B1
6482733 Raaijmakers et al. Nov 2002 B2
6482740 Soininen et al. Nov 2002 B2
6489214 Kim et al. Dec 2002 B2
6500603 Shioda Dec 2002 B1
6511539 Raaijmakers Jan 2003 B1
6534395 Werkhoven et al. Mar 2003 B2
6548424 Putkonen Apr 2003 B2
6551929 Kori et al. Apr 2003 B1
6569501 Chiang et al. May 2003 B2
6583497 Xia et al. Jun 2003 B2
6585823 Van Wijck Jul 2003 B1
6599572 Saanila et al. Jul 2003 B2
6599819 Goto Jul 2003 B1
6607976 Chen et al. Aug 2003 B2
6619304 Worm Sep 2003 B2
6620670 Song et al. Sep 2003 B2
6620723 Byun et al. Sep 2003 B1
6620956 Chen et al. Sep 2003 B2
6630201 Chiang et al. Oct 2003 B2
6632279 Ritala et al. Oct 2003 B1
6657304 Woo et al. Dec 2003 B1
6660660 Haukka et al. Dec 2003 B2
6686271 Raaijmakers et al. Feb 2004 B2
6780777 Yun et al. Aug 2004 B2
6797336 Garvey et al. Sep 2004 B2
6825115 Xiang et al. Nov 2004 B1
6841432 Takemura et al. Jan 2005 B1
6846380 Dickinson Jan 2005 B2
6849122 Fair Feb 2005 B1
6867130 Karlsson et al. Mar 2005 B1
6867152 Hausmann et al. Mar 2005 B1
6889627 Hao May 2005 B1
6897118 Poon et al. May 2005 B1
6969448 Lau Nov 2005 B1
7055333 Leitch et al. Jun 2006 B2
7084079 Conti et al. Aug 2006 B2
7105061 Shrinivasan et al. Sep 2006 B1
7111630 Mizobata et al. Sep 2006 B2
7114517 Sund et al. Oct 2006 B2
7211525 Shanker et al. May 2007 B1
7282458 Gates et al. Oct 2007 B2
7361231 Fury et al. Apr 2008 B2
7422636 Ishizaka Sep 2008 B2
7429402 Gandikota et al. Sep 2008 B2
7432200 Chowdhury et al. Oct 2008 B2
7460760 Cho et al. Dec 2008 B2
7465650 Derderian Dec 2008 B2
7491658 Nguyen et al. Feb 2009 B2
7503334 Shrinivasan et al. Mar 2009 B1
7521089 Hillman et al. Apr 2009 B2
7521378 Fucsko et al. Apr 2009 B2
7541297 Mallick et al. Jun 2009 B2
7576441 Yin et al. Aug 2009 B2
7629227 Wang et al. Dec 2009 B1
7650965 Thayer et al. Jan 2010 B2
7651959 Fukazawa et al. Jan 2010 B2
7655532 Chen et al. Feb 2010 B1
7691442 Gandikota et al. Apr 2010 B2
7709320 Cheng May 2010 B2
7759749 Tanikawa Jul 2010 B2
7825038 Ingle et al. Nov 2010 B2
7825042 Mandal Nov 2010 B2
7867914 Xi et al. Jan 2011 B2
7867923 Mallick et al. Jan 2011 B2
7891228 Ding et al. Feb 2011 B2
7910165 Ganguli et al. Mar 2011 B2
7964505 Khandelwal et al. Jun 2011 B2
7964506 Ponnuswamy et al. Jun 2011 B1
8027089 Hayashi Sep 2011 B2
8278224 Mui et al. Oct 2012 B1
8306026 Anjum et al. Nov 2012 B2
8318584 Li et al. Nov 2012 B2
8349085 Tahara Jan 2013 B2
8449942 Liang et al. May 2013 B2
8455368 Chandler et al. Jun 2013 B2
8466073 Wang et al. Jun 2013 B2
8481123 Kim et al. Jul 2013 B2
8536065 Seamons et al. Sep 2013 B2
8557712 Antonelli et al. Oct 2013 B1
8563445 Liang et al. Oct 2013 B2
8585873 Ford et al. Nov 2013 B2
8647992 Liang et al. Feb 2014 B2
8648253 Woods et al. Feb 2014 B1
8668868 Chiu et al. Mar 2014 B2
8741788 Liang et al. Jun 2014 B2
8871656 Mallick et al. Oct 2014 B2
8906761 Kim et al. Dec 2014 B2
8936834 Kim et al. Jan 2015 B2
9121515 Yamamoto et al. Sep 2015 B2
9153442 Wang et al. Oct 2015 B2
9157730 Rajagopalan et al. Oct 2015 B2
9190321 Cabral, Jr. et al. Nov 2015 B2
9257314 Rivera et al. Feb 2016 B1
9306026 Toriumi et al. Apr 2016 B2
9330939 Zope et al. May 2016 B2
9362107 Thadani et al. Jun 2016 B2
9382621 Choi et al. Jul 2016 B2
9423313 Douba et al. Aug 2016 B2
9484406 Sun et al. Nov 2016 B1
9502307 Bao et al. Nov 2016 B1
9570551 Balakrishnan et al. Feb 2017 B1
9583655 Cheng Feb 2017 B2
9646850 Pethe May 2017 B2
9679810 Nag et al. Jun 2017 B1
9685371 Zope et al. Jun 2017 B2
9695503 Stowell et al. Jul 2017 B2
9741626 Cheng et al. Aug 2017 B1
9777378 Nemani et al. Oct 2017 B2
10049927 Mebarki et al. Aug 2018 B2
10083834 Thompson et al. Sep 2018 B2
10096516 Leschkies et al. Oct 2018 B1
10179941 Khan et al. Jan 2019 B1
10224224 Liang et al. Mar 2019 B2
10234630 Meyer Timmerman Thijssen et al. Mar 2019 B2
10269571 Wong et al. Apr 2019 B2
10276411 Delmas et al. Apr 2019 B2
10403729 Lee Sep 2019 B2
10410918 Wu et al. Sep 2019 B2
10529585 Manna et al. Jan 2020 B2
10529603 Liang et al. Jan 2020 B2
10566188 Clemons et al. Feb 2020 B2
10622214 Wong et al. Apr 2020 B2
10636669 Chen et al. Apr 2020 B2
10636677 Delmas et al. Apr 2020 B2
10636704 Mebarki et al. Apr 2020 B2
10643867 Delmas et al. May 2020 B2
10675581 Khan et al. Jun 2020 B2
10679827 Krishna Jun 2020 B2
10685830 Delmas Jun 2020 B2
10714331 Balseanu et al. Jul 2020 B2
10720341 Liang et al. Jul 2020 B2
10748783 Khan et al. Aug 2020 B2
10790183 Sun et al. Sep 2020 B2
10847360 Wong et al. Nov 2020 B2
10854483 Schaller et al. Dec 2020 B2
10916433 Ren et al. Feb 2021 B2
10950429 Citla et al. Mar 2021 B2
10957533 Jiang et al. Mar 2021 B2
11018032 Delmas et al. May 2021 B2
11101174 Jiang et al. Aug 2021 B2
20010016429 Mak et al. Aug 2001 A1
20010029108 Tometsuka Oct 2001 A1
20010041122 Kroeker Nov 2001 A1
20010050096 Costantini et al. Dec 2001 A1
20010055649 Ogure et al. Dec 2001 A1
20020066535 Brown et al. Jun 2002 A1
20020073922 Frankel et al. Jun 2002 A1
20020098715 Lane et al. Jul 2002 A1
20020122885 Ahn Sep 2002 A1
20020134439 Kawasaki et al. Sep 2002 A1
20020148492 Kamagata et al. Oct 2002 A1
20020151128 Lane et al. Oct 2002 A1
20020155714 Suzuki Oct 2002 A1
20020192056 Reimer et al. Dec 2002 A1
20020197806 Furukawa et al. Dec 2002 A1
20030022487 Yoon et al. Jan 2003 A1
20030030945 Heinonen et al. Feb 2003 A1
20030049372 Cook et al. Mar 2003 A1
20030053893 Matsunaga et al. Mar 2003 A1
20030059538 Chung et al. Mar 2003 A1
20030101938 Ronsse et al. Jun 2003 A1
20030121887 Garvey et al. Jul 2003 A1
20030129832 Fujikawa Jul 2003 A1
20030148035 Lingampalli Aug 2003 A1
20030148631 Kuo et al. Aug 2003 A1
20030194615 Krauth Oct 2003 A1
20030207593 Derderian et al. Nov 2003 A1
20030232512 Dickinson et al. Dec 2003 A1
20040025908 Douglas et al. Feb 2004 A1
20040060519 Beauchaine et al. Apr 2004 A1
20040074869 Wang et al. Apr 2004 A1
20040097060 San et al. May 2004 A1
20040112409 Schilling Jun 2004 A1
20040180510 Ranade Sep 2004 A1
20040184792 Hamelin et al. Sep 2004 A1
20040187792 Parks Sep 2004 A1
20040219800 Tognetti Nov 2004 A1
20040248392 Narwankar et al. Dec 2004 A1
20040255979 Fury et al. Dec 2004 A1
20050003655 Cathey et al. Jan 2005 A1
20050014365 Moon et al. Jan 2005 A1
20050022737 Shimizu et al. Feb 2005 A1
20050051194 Sakashita et al. Mar 2005 A1
20050074956 Autryve et al. Apr 2005 A1
20050082281 Uemori et al. Apr 2005 A1
20050109392 Hollars May 2005 A1
20050136684 Mukai et al. Jun 2005 A1
20050161158 Schumacher Jul 2005 A1
20050164445 Lin et al. Jul 2005 A1
20050186765 Ma et al. Aug 2005 A1
20050191828 Al-Bayati et al. Sep 2005 A1
20050198971 Leitch et al. Sep 2005 A1
20050205210 Devine et al. Sep 2005 A1
20050227479 Feng et al. Oct 2005 A1
20050250347 Bailey et al. Nov 2005 A1
20050269291 Kent Dec 2005 A1
20060003596 Fucsko et al. Jan 2006 A1
20060035035 Sakama Feb 2006 A1
20060079086 Boit et al. Apr 2006 A1
20060084266 Narushima Apr 2006 A1
20060091493 Wu May 2006 A1
20060105107 Lindeboom et al. May 2006 A1
20060105515 Amos et al. May 2006 A1
20060105557 Klee et al. May 2006 A1
20060110934 Fukuchi May 2006 A1
20060124613 Kumar et al. Jun 2006 A1
20060128150 Gandikota et al. Jun 2006 A1
20060175012 Lee Aug 2006 A1
20060207633 Kim et al. Sep 2006 A1
20060226117 Bertram et al. Oct 2006 A1
20060240187 Weidman Oct 2006 A1
20060279025 Heidari et al. Dec 2006 A1
20060290017 Yanagisawa Dec 2006 A1
20070012402 Sneh Jan 2007 A1
20070045753 Pae et al. Mar 2007 A1
20070087533 Nishikawa et al. Apr 2007 A1
20070095651 Ye et al. May 2007 A1
20070111519 Lubomirsky et al. May 2007 A1
20070116873 Li et al. May 2007 A1
20070145416 Ohta Jun 2007 A1
20070187386 Kim et al. Aug 2007 A1
20070204797 Fischer Sep 2007 A1
20070209931 Miller Sep 2007 A1
20070212850 Ingle et al. Sep 2007 A1
20070243317 Du Bois et al. Oct 2007 A1
20070254471 Kameyama et al. Nov 2007 A1
20070254477 Muraoka et al. Nov 2007 A1
20070256559 Chen et al. Nov 2007 A1
20080001196 Cheng Jan 2008 A1
20080073691 Konno et al. Mar 2008 A1
20080074658 Davis et al. Mar 2008 A1
20080076230 Cheng Mar 2008 A1
20080083109 Shibata et al. Apr 2008 A1
20080085611 Khandelwal et al. Apr 2008 A1
20080115726 Ingle et al. May 2008 A1
20080121882 Hwang et al. May 2008 A1
20080132050 Lavoie Jun 2008 A1
20080210273 Joe Sep 2008 A1
20080241384 Jeong et al. Oct 2008 A1
20080251904 Theuss et al. Oct 2008 A1
20080268635 Yu et al. Oct 2008 A1
20080311711 Hampp et al. Dec 2008 A1
20080315762 Hamada et al. Dec 2008 A1
20090018688 Chandler et al. Jan 2009 A1
20090029126 Tanikawa Jan 2009 A1
20090035915 Su Feb 2009 A1
20090035952 Chua et al. Feb 2009 A1
20090053426 Lu et al. Feb 2009 A1
20090053893 Khandelwal et al. Feb 2009 A1
20090081884 Yokota et al. Mar 2009 A1
20090087981 Suzuki et al. Apr 2009 A1
20090110622 Chiu et al. Apr 2009 A1
20090148965 Kim et al. Jun 2009 A1
20090180847 Guo et al. Jul 2009 A1
20090183992 Fredenberg et al. Jul 2009 A1
20090186481 Suzuki et al. Jul 2009 A1
20090233449 Lebouitz et al. Sep 2009 A1
20090243126 Washiya et al. Oct 2009 A1
20090246952 Ishizaka et al. Oct 2009 A1
20090269507 Yu et al. Oct 2009 A1
20090283735 Li et al. Nov 2009 A1
20090298257 Lee et al. Dec 2009 A1
20100006211 Wolk et al. Jan 2010 A1
20100012292 Yamazaki Jan 2010 A1
20100022068 Chen et al. Jan 2010 A1
20100032838 Kikuchi et al. Feb 2010 A1
20100072569 Han et al. Mar 2010 A1
20100102417 Ganguli et al. Apr 2010 A1
20100173470 Lee et al. Jul 2010 A1
20100173495 Thakur et al. Jul 2010 A1
20100196626 Choi et al. Aug 2010 A1
20100203725 Choi et al. Aug 2010 A1
20100248419 Woodruff et al. Sep 2010 A1
20100273324 Lin et al. Oct 2010 A1
20100297854 Ramamurthy et al. Nov 2010 A1
20100304027 Lee et al. Dec 2010 A1
20100320459 Umeda et al. Dec 2010 A1
20100323517 Baker-O'Neal et al. Dec 2010 A1
20100327422 Lee et al. Dec 2010 A1
20110011737 Wu et al. Jan 2011 A1
20110048524 Nam et al. Mar 2011 A1
20110124192 Ganguli et al. May 2011 A1
20110151677 Wang et al. Jun 2011 A1
20110163449 Kelly et al. Jul 2011 A1
20110165781 Liang et al. Jul 2011 A1
20110174363 Munteanu Jul 2011 A1
20110198736 Shero et al. Aug 2011 A1
20110204518 Arunachalam Aug 2011 A1
20110233778 Lee et al. Sep 2011 A1
20110237019 Horng et al. Sep 2011 A1
20110240464 Rasheed et al. Oct 2011 A1
20110263091 Yamazaki Oct 2011 A1
20110303147 Tachibana et al. Dec 2011 A1
20110305836 Murata et al. Dec 2011 A1
20120048304 Kitajima et al. Mar 2012 A1
20120056173 Pieralisi Mar 2012 A1
20120060868 Gray Mar 2012 A1
20120100678 Sako et al. Apr 2012 A1
20120112224 Le Bellac et al. May 2012 A1
20120138146 Furuhata et al. Jun 2012 A1
20120142192 Li et al. Jun 2012 A1
20120142198 Wang et al. Jun 2012 A1
20120153483 Akolkar et al. Jun 2012 A1
20120175822 Inamiya et al. Jul 2012 A1
20120252207 Lei et al. Oct 2012 A1
20120252210 Tohnoe Oct 2012 A1
20120258602 Subramani et al. Oct 2012 A1
20120285492 Lee et al. Nov 2012 A1
20120304485 Hayashi et al. Dec 2012 A1
20120309190 Kelly et al. Dec 2012 A1
20130068391 Mazzocco et al. Mar 2013 A1
20130069174 Chuang et al. Mar 2013 A1
20130194350 Watanabe et al. Aug 2013 A1
20130233170 Spiegelman et al. Sep 2013 A1
20130241037 Jeong et al. Sep 2013 A1
20130256125 Young et al. Oct 2013 A1
20130277760 Lu et al. Oct 2013 A1
20130288485 Liang et al. Oct 2013 A1
20130302916 Kim et al. Nov 2013 A1
20130330042 Nara et al. Dec 2013 A1
20130337171 Sasagawa Dec 2013 A1
20140003892 Yamamoto et al. Jan 2014 A1
20140023320 Lee et al. Jan 2014 A1
20140034632 Pan et al. Feb 2014 A1
20140045300 Chen et al. Feb 2014 A1
20140051264 Mallick et al. Feb 2014 A1
20140076494 Miyashita et al. Mar 2014 A1
20140102877 Yamazaki Apr 2014 A1
20140134827 Swaminathan et al. May 2014 A1
20140138802 Starostine et al. May 2014 A1
20140144462 Verhaverbeke et al. May 2014 A1
20140159135 Fujimoto et al. Jun 2014 A1
20140183743 Matsumoto et al. Jul 2014 A1
20140213070 Hong et al. Jul 2014 A1
20140231384 Underwood et al. Aug 2014 A1
20140234583 Ryu et al. Aug 2014 A1
20140235068 Ashihara et al. Aug 2014 A1
20140239291 Son et al. Aug 2014 A1
20140264237 Chen et al. Sep 2014 A1
20140268080 Beasley et al. Sep 2014 A1
20140273335 Abushama Sep 2014 A1
20140284821 Hubbard Sep 2014 A1
20140319129 Ahmad Oct 2014 A1
20140319462 Huang et al. Oct 2014 A1
20140322921 Ahmad et al. Oct 2014 A1
20150000870 Hosotani et al. Jan 2015 A1
20150021672 Chuang et al. Jan 2015 A1
20150024592 Chandrashekar et al. Jan 2015 A1
20150050807 Wu et al. Feb 2015 A1
20150056819 Wong et al. Feb 2015 A1
20150091009 Yamazaki et al. Apr 2015 A1
20150093891 Zope et al. Apr 2015 A1
20150099342 Tsai et al. Apr 2015 A1
20150144999 Ching et al. May 2015 A1
20150145002 Lee et al. May 2015 A1
20150159272 Yoon et al. Jun 2015 A1
20150179501 Jhaveri et al. Jun 2015 A1
20150197455 Pranov Jul 2015 A1
20150203961 Ha et al. Jul 2015 A1
20150255581 Lin et al. Sep 2015 A1
20150279635 Subramani et al. Oct 2015 A1
20150292736 Hirson et al. Oct 2015 A1
20150309073 Mirkin et al. Oct 2015 A1
20150322286 Cabrini et al. Nov 2015 A1
20150348824 Kuenle et al. Dec 2015 A1
20150357195 Lam et al. Dec 2015 A1
20150364348 Park et al. Dec 2015 A1
20160027887 Yuan et al. Jan 2016 A1
20160035600 Rivera et al. Feb 2016 A1
20160053366 Stowell et al. Feb 2016 A1
20160064209 Lee et al. Mar 2016 A1
20160064482 Hashemi et al. Mar 2016 A1
20160076149 Yamazaki et al. Mar 2016 A1
20160086831 Rivera et al. Mar 2016 A1
20160093726 Ching et al. Mar 2016 A1
20160111272 Girard et al. Apr 2016 A1
20160111337 Hatcher et al. Apr 2016 A1
20160118260 Mebarki et al. Apr 2016 A1
20160118391 Zhao et al. Apr 2016 A1
20160126104 Shaviv et al. May 2016 A1
20160163540 Liao et al. Jun 2016 A1
20160181414 Huang et al. Jun 2016 A1
20160186363 Merzaghi et al. Jun 2016 A1
20160189951 Lee et al. Jun 2016 A1
20160204027 Lakshmanan et al. Jul 2016 A1
20160208414 Odawara et al. Jul 2016 A1
20160260526 Otto Sep 2016 A1
20160268127 Yamazaki Sep 2016 A1
20160273758 Fujimura Sep 2016 A1
20160274454 Beasley et al. Sep 2016 A1
20160284882 Jang Sep 2016 A1
20160308048 Ching et al. Oct 2016 A1
20160314964 Tang et al. Oct 2016 A1
20160329190 Evans et al. Nov 2016 A1
20160329458 Evans et al. Nov 2016 A1
20160334162 Kim et al. Nov 2016 A1
20160336405 Sun et al. Nov 2016 A1
20160336475 Mackie et al. Nov 2016 A1
20160353522 Rathi et al. Dec 2016 A1
20160355927 Weaver et al. Dec 2016 A1
20160358809 Brown et al. Dec 2016 A1
20160358815 Yu et al. Dec 2016 A1
20160372319 Zeng et al. Dec 2016 A1
20160377972 Hofmann et al. Dec 2016 A1
20160379853 Schaller et al. Dec 2016 A1
20160379854 Vopat et al. Dec 2016 A1
20170005188 Cheng et al. Jan 2017 A1
20170005204 Hosoba et al. Jan 2017 A1
20170011932 Pethe et al. Jan 2017 A1
20170053784 Subramani et al. Feb 2017 A1
20170053946 Matsuzaki et al. Feb 2017 A1
20170084487 Chebiam et al. Mar 2017 A1
20170104062 Bi et al. Apr 2017 A1
20170110616 Dissanayake et al. Apr 2017 A1
20170117379 Chen et al. Apr 2017 A1
20170140996 Lin et al. May 2017 A1
20170160012 Kobayashi et al. Jun 2017 A1
20170162413 Rebstock Jun 2017 A1
20170194430 Wood et al. Jul 2017 A1
20170200642 Shaviv Jul 2017 A1
20170253968 Yahata Sep 2017 A1
20170263702 Chan et al. Sep 2017 A1
20170263773 Yamazaki Sep 2017 A1
20170287842 Fu et al. Oct 2017 A1
20170301767 Niimi et al. Oct 2017 A1
20170314125 Fenwick et al. Nov 2017 A1
20170317109 Wang et al. Nov 2017 A1
20170358483 Roy et al. Dec 2017 A1
20180003567 Petry et al. Jan 2018 A1
20180019249 Zhang et al. Jan 2018 A1
20180023192 Chandra et al. Jan 2018 A1
20180033615 Tjandra Feb 2018 A1
20180051368 Liu et al. Feb 2018 A1
20180053725 Edelstein et al. Feb 2018 A1
20180068890 Zope et al. Mar 2018 A1
20180087418 Cadigan et al. Mar 2018 A1
20180096847 Thompson et al. Apr 2018 A1
20180096874 Schaller et al. Apr 2018 A1
20180182856 Lee Jun 2018 A1
20180209037 Citla et al. Jul 2018 A1
20180240682 Lai et al. Aug 2018 A1
20180258533 Liang et al. Sep 2018 A1
20180261480 Liang et al. Sep 2018 A1
20180286674 Manna et al. Oct 2018 A1
20180308669 Bokka et al. Oct 2018 A1
20180315626 Franklin Nov 2018 A1
20180323093 Zhang et al. Nov 2018 A1
20180337027 L'Heureux et al. Nov 2018 A1
20180342384 Wong Nov 2018 A1
20180342396 Wong et al. Nov 2018 A1
20180350563 Manna et al. Dec 2018 A1
20180366328 Ren et al. Dec 2018 A1
20190019708 Weaver et al. Jan 2019 A1
20190057879 Delmas et al. Feb 2019 A1
20190119769 Khan et al. Apr 2019 A1
20190139793 Delmas et al. May 2019 A1
20190148178 Liang et al. May 2019 A1
20190148186 Schaller et al. May 2019 A1
20190157074 Delmas May 2019 A1
20190170591 Petry et al. Jun 2019 A1
20190198367 Liang et al. Jun 2019 A1
20190198368 Weaver et al. Jun 2019 A1
20190228982 Chen et al. Jul 2019 A1
20190229004 Schaller et al. Jul 2019 A1
20190237345 Delmas et al. Aug 2019 A1
20190258153 Nemani et al. Aug 2019 A1
20190259625 Nemani et al. Aug 2019 A1
20190259638 Schaller et al. Aug 2019 A1
20190279879 Singh et al. Sep 2019 A1
20190311896 Balseanu et al. Oct 2019 A1
20190326138 Forderhase et al. Oct 2019 A1
20190360100 Nguyen et al. Nov 2019 A1
20190360633 Schaller et al. Nov 2019 A1
20190368035 Malik et al. Dec 2019 A1
20190371650 Sun et al. Dec 2019 A1
20190375105 Weaver et al. Dec 2019 A1
20200035509 Khan et al. Jan 2020 A1
20200035513 Khan et al. Jan 2020 A1
20200075392 Brown et al. Mar 2020 A1
20200098574 Wong et al. Mar 2020 A1
20210167235 Li et al. Jun 2021 A1
Foreign Referenced Citations (106)
Number Date Country
1280875 Oct 2006 CN
101871043 Oct 2010 CN
104047676 Sep 2014 CN
104089491 Oct 2014 CN
103035513 Oct 2016 CN
0516344 Dec 1992 EP
0670590 Sep 1995 EP
1069213 Jan 2001 EP
1107288 Jun 2001 EP
0840365 Oct 2003 EP
S63-004616 Jan 1988 JP
S6367721 Mar 1988 JP
H1218018 Aug 1989 JP
H04355922 Dec 1992 JP
H0521347 Jan 1993 JP
H06283496 Oct 1994 JP
H07048489 May 1995 JP
H07158767 Jun 1995 JP
H08195493 Jul 1996 JP
H09048690 Feb 1997 JP
H9296267 Nov 1997 JP
H10214880 Aug 1998 JP
H10335657 Dec 1998 JP
H11209872 Aug 1999 JP
H11354515 Dec 1999 JP
2000221799 Aug 2000 JP
2000357699 Dec 2000 JP
2001053066 Feb 2001 JP
2001110729 Apr 2001 JP
2001274161 Oct 2001 JP
200351474 Feb 2003 JP
2003166065 Jun 2003 JP
2003188387 Jul 2003 JP
2004127958 Apr 2004 JP
200579528 Mar 2005 JP
2005064269 Mar 2005 JP
2005530343 Oct 2005 JP
2005333015 Dec 2005 JP
2006526125 Nov 2006 JP
2007242791 Sep 2007 JP
2008073611 Apr 2008 JP
2008118118 May 2008 JP
2008153635 Jul 2008 JP
2009129927 Jun 2009 JP
2009539231 Nov 2009 JP
201080949 Apr 2010 JP
2010168607 Aug 2010 JP
2010205854 Sep 2010 JP
201129394 Feb 2011 JP
2011258943 Dec 2011 JP
2012503883 Feb 2012 JP
2012204656 Oct 2012 JP
2013105777 May 2013 JP
2013516788 May 2013 JP
2013179244 Sep 2013 JP
2014019912 Feb 2014 JP
2014103351 Jun 2014 JP
2015067884 Apr 2015 JP
2015086459 May 2015 JP
2015115394 Jun 2015 JP
2015233157 Dec 2015 JP
19980063671 Oct 1998 KR
20010046843 Jun 2001 KR
20030052162 Jun 2003 KR
100422433 Jul 2004 KR
10-20040068969 Aug 2004 KR
20050121750 Dec 2005 KR
100684910 Feb 2007 KR
20070075383 Jul 2007 KR
20090011463 Feb 2009 KR
1020090040867 Apr 2009 KR
10-2009-0064279 Jun 2009 KR
10-2010-0035000 Apr 2010 KR
20110136532 Dec 2011 KR
101287035 Jul 2013 KR
101305904 Sep 2013 KR
20140003776 Jan 2014 KR
20140104112 Aug 2014 KR
101438291 Sep 2014 KR
20140135744 Nov 2014 KR
20150006587 Jan 2015 KR
20150122432 Nov 2015 KR
20160044004 Apr 2016 KR
20160061437 May 2016 KR
101698021 Jan 2017 KR
200529284 Sep 2005 TW
200721316 Jun 2007 TW
201507174 Feb 2015 TW
201608672 Mar 2016 TW
201708597 Mar 2017 TW
200051938 Sep 2000 WO
03023827 Mar 2003 WO
2004102055 Nov 2004 WO
2005057663 Jun 2005 WO
2008047886 Apr 2008 WO
2008089178 Jul 2008 WO
2010115128 Jan 2011 WO
2011002058 Jan 2011 WO
2011103062 Aug 2011 WO
2012133583 Oct 2012 WO
2014115600 Jul 2014 WO
2015195081 Dec 2015 WO
2016018593 Feb 2016 WO
2016065219 Apr 2016 WO
2016111833 Jul 2016 WO
2018187546 Oct 2018 WO
Non-Patent Literature Citations (69)
Entry
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2019/061995; dated Mar. 9, 2020; 13 total pages.
Korean Office Action dated Jul. 16, 2021 for Application No. 10-2020-7007956.
Office Action for Japanese Patent Application No. 2020-543976 dated Jul. 13, 2021.
Taiwan Office Action dated Jul. 28, 2021 for Application No. 107108016.
International Search Report and Written Opinion for International Application No. PCT/US2019/032609 dated Sep. 11, 2019.
Lin, Kevin L. et al.—“Nickel silicide for interconnects”, 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), IEEE, (XP032808874), May 18, 2015, pp. 169-172.
EPO Extended European Search Report dated Aug. 9, 2019, for European Patent Application No. 19166775.7.
Japanese Office Action dated Mar. 17, 2020, for Japanese Patent Application No. 2019-073230.
Taiwan Office Action dated Mar. 31, 2020, for Taiwan Patent Application No. 108111883.
Korean Office Action dated Aug. 4, 2020, for Korean Patent Application No. 10-2019-0040236.
Japanese Office Action dated Nov. 10, 2020, for Japanese Patent Application No. 2019-073230.
T. Miyake et al., “Effects of atomic hydrogen on Cu reflow process”, AIP Conferenec Proceedings 418, 419 (1998).
International Search Report and Written Opinion dated Aug. 24, 2017 for Application No. PCT/US2017/033862.
Taiwan Office Action for Application No. 106119184 dated Mar. 6, 2019.
Japanese Office Action for Application No. 2018-564195 dated Nov. 19, 2019.
International Search Report PCT/2020/046396 dated Nov. 26, 2020 consists of 12 pages.
International Search Report and Written Opinion for PCT/US2021/014991 dated May 17, 2021.
Korean Office Action dated Aug. 26, 2021, for Korean Patent Application No. 10-2020-4016526.
International Search Report and Written Opinion for PCT/US2018/021715 dated Jun. 22, 2018.
International Search Report and Written Opinion from dated Aug. 24, 2018.
International Search Report and Written Opinion dated Aug. 24, 2018 for Application No. PCT/US2018/034284.
International Search Report, Application No. PCT/US2018/028258 dated Aug. 9, 2018.
International Search Report and Written Opinion for PCT/US2018/035210 dated Aug. 24, 2018.
International Search Report and Written Opinion for PCT/US2018/037539 dated Oct. 5, 2018.
International Search Report and Written Opinion for PCT/US2018/038822 dated Oct. 26, 2018.
Chen, Yang et al., “Analysis of Supercritical Carbon Dioxide Heat Exchangers in Cooling Process”, International Refrigeration and Air Conditioning Conference at Purdue, Jul. 17-20, 2006, pp. 1-8.
Shimoyama, Takehiro et al., “Porous Aluminum for Heat Exchanger”, Hitachi Chemical, pp. 19-20.
Kato, T. et al., “Heat Transfer Characteristics of a Plate-Fin Type Supercritical/Liquid Helium Heat Exchanger”, ICEC 14 Proceedings Supplement, 1992, pp. 260-263.
Lee, Ho-Saeng et al.,“The cooling heat transfer characteristics of the supercritical CO2 in mico-fin tube”, Springer, Oct. 2, 2012, pp. 173-184.
International Search Report and Written Opinion dated Nov. 30, 2018 for Application No. PCT/US2018/041688.
International Search Report and Written Opinion for PCT/US2018/043160 dated Jan. 31, 2019.
International Search Report and Written Opinion dated Jan. 31, 2019 for Application No. PCT/US2018/042760.
International Search Report and Written Opinion for PCT/US2018/059643 dated Feb. 26, 2019.
International Search Report and Written Opinion from PCT/US2019/012161 dated Apr. 30, 2019.
International Search Report and Written Opinion for PCT/US2019/015339 dated May 15, 2019.
International Search Report and Written Opinion for PCT/US2019/015332 dated May 15, 2019.
International Search Report and Written Opinion for PCT/US2018/059676 dated May 23, 2019.
International Search Report and Written Opinion for PCT/US2019/023431 dated Jul. 5, 2019.
Haskel Pressure on Demand, Pneumatic and Hydraulic Driven Gas Boosters, Apr. 30, 2016, 36 pp.
Taiwan Office Action dated Jul. 3, 2019 for Application No. 107136151.
International Search Report and Written Opinion for International Application No. PCT/US2019/029602 dated Aug. 14, 2019.
Taiwan Office Action dated Jun. 11, 2019 for Application No. 107138905.
Office Action for Japanese Application No. 2018-546484 dated Oct. 8, 2019.
International Search Report and Written Opinion for International Application No. PCT/US2019/040195 dated Oct. 25, 2019.
Taiwan Office Action dated Nov. 19, 2019 for Application No. 108103415.
Office Action for Japanese Application No. 2018-517285 dated Oct. 23, 2019.
Office Action for Taiwan Patent Application No. 108111501 dated Nov. 14, 2019.
International Search Report and Written Opinion for PCT/US2018/050464 dated Jan. 4, 2019.
International Search Report and Written Opinion for PCT/US2019/056447 dated Feb. 7, 2020.
KR Office Action dated Feb. 4, 2020 for Application No. 10-2018-0133399.
Taiwan Office Action dated Feb. 21, 2020 for Application No. 108138212.
International Search Report and Written Opinion for International Application No. PCT/US2019/059659 dated Feb. 26, 2020.
Office Action from Taiwan Patent Application No. 108104585 dated Jan. 30, 2020, with concise statement of relevance.
Pedestal definition from Dictionary.com, printed on Feb. 10, 2020 (year 2020).
Taiwan Office Action dated Oct. 12, 2020 for Application No. 108140559.
Office Action for Japanese Application No. 2019-548976 dated Oct. 20, 2020.
European International Search Report issued to 18764622.9 dated Nov. 20, 2020.
Office Action for Korean Application No. 10-2019-7029776 dated Jan. 18, 2021.
Taiwan Office Action dated May 4, 2020 for Application No. 107121254.
Japanese Office Action dated Feb. 16, 2021 for Application No. 2019-564964.
Extended European International Search Report issued to 18831823.2 dated Mar. 19, 2021.
Office Action for Korean Application No. 10-2020-7004396 dated Apr. 5, 2021.
Japanese Office Action dated Apr. 20, 2021 for Application No. JP 2020-508603.
Korean Office Action issued to Application No. 10-2019-7038099 dated May 1, 2021.
Office Action for Japanese Patent Application No. 2019-548976 dated May 25, 2021.
Office Action for Japanese Patent Application No. 2020-500629 dated Jun. 8, 2021.
Extended European Search Report for EP Application No. 18876650.5 dated Jul. 19, 2021.
Extended European Search Report for EP Application No. 18806169.1 dated Jul. 19, 2021.
Taiwan Office Action for Application No. 108142682 dated Jul. 10, 2023.
Related Publications (1)
Number Date Country
20200185260 A1 Jun 2020 US
Provisional Applications (1)
Number Date Country
62776893 Dec 2018 US