Semiconductor structure and method of fabrication thereof with mixed metal types

Information

  • Patent Grant
  • 9224733
  • Patent Number
    9,224,733
  • Date Filed
    Friday, October 4, 2013
    10 years ago
  • Date Issued
    Tuesday, December 29, 2015
    8 years ago
Abstract
A semiconductor structure includes a first PMOS transistor element having a gate region with a first gate metal associated with a PMOS work function and a first NMOS transistor element having a gate region with a second metal associated with a NMOS work function. The first PMOS transistor element and the first NMOS transistor element form a first CMOS device. The semiconductor structure also includes a second PMOS transistor that is formed in part by concurrent deposition with the first NMOS transistor element of the second metal associated with a NMOS work function to form a second CMOS device with different operating characteristics than the first CMOS device.
Description
TECHNICAL FIELD

The present disclosure relates in general to semiconductor processing and more particularly to a structure and method for fabrication thereof providing mixed gate metals.


BACKGROUND

Gate depletion issues, high gate resistance, high gate tunneling currents, and boron penetration into a channel are problems encountered when heavily doped polysilicon gates of conventional CMOS transistors are shrunk. Some of these problems can be eliminated or greatly reduced by use of metal gates. A metal gate eliminates polysilicon gate depletion and boron penetration from the polysilicon into the channel, and also reduces the gate sheet resistance.


However, simply replacing the polysilicon gate with a metal gate is not generally possible, in part because of the different required gate work function for effective operation of PMOS and NMOS transistors. To control short channel effects and keep off-current low, a higher than poly gate work function is required for NMOS and a lower than p+ poly gate work function is required for PMOS, Switching between a polysilicon gate work function suitable for a PMOS transistor and one suitable for an NMOS transistor requires only a minor change to the polysilicon dopant implant process. In contrast, if a mid-gap metal having a work function intermediate to the PMOS and NMOS transistors is selected as a gate metal, a transistor designer must deal with a high threshold voltage. For example, a mid-gap metal having work function around silicon's mid gap value of about 4.6 eV could be selected to provide symmetric benefit to both PMOS and NMOS transistors. Such work function would result in threshold voltages too high to be acceptable for high performance logic applications, unless costly multiple metal post-processing or alloying is used to differentiate the PMOS and NMOS gate work functions.


Because of such problems, transistor designers have utilized two metals having differing work functions that are respectively appropriate for PMOS and NMOS transistors. For example, a conventional high-k/metal gate implementation can utilize a metal that works for NMOS (typically with a work function between 4.05 eV and 4.6 CV) and a metal that works with PMOS (typically of work function between 4.6 eV and 5.2 eV). Common NMOS metals include tantalum silicon nitride (TaSiN), titanium nitride (TiN), or tantalum nitride (TaN), all of which have a work function close to the silicon conduction band. PMOS metals include ruthenium (Ru), molybdenum (Mo), or tungsten (W), all of which have work functions close to the silicon valence band.


While dual metal gate transistors can be produced cost effectively for die composed of a single device transistor type, the situation is not as clear for complex a system-on-a-chip (SoC) die having multiple transistor types. A system-on-a-chip die can require multiple types of digital and analog transistors to handle low and high speed logic, memory, wireless, and input/output functions. Each device type may have a different required set of PMOS and NMOS gate metals for optimal operation. If only two metals are used for all device types, performance compromises must be made, and certain types of devices may be incompatible with each other. However, requiring expensive additional masking and processing steps to deposit multiple sets of gate metal for each device type is costly, time-consuming, and results in increased failure rate.


SUMMARY

From the foregoing, it may be appreciated by those skilled in the art that a need has arisen to provide multiple devices with varying characteristics while reducing masking steps to obtain the multiple devices. In accordance with the present disclosure, a structure with multiple devices and method of fabrication thereof are provided that substantially eliminate or greatly reduce disadvantages and problems found in conventional semiconductor processing techniques.


According to an embodiment of the present disclosure, a semiconductor structure is provided that includes a first PMOS transistor element having a gate region with a first metal associated with a PMOS work function and a first NMOS transistor element having a gate region with a second metal associated with a NMOS work function. The first PMOS transistor element and the first NMOS transistor element form a first CMOS device. The semiconductor structure includes a second PMOS transistor element has a gate region with the second gate metal and a second NMOS transistor element having a gate region with the first gate metal. The second PMOS transistor element and the second NMOS transistor element form a second CMOS device with different operating characteristics than the first CMOS device.


The present disclosure provides various technical advantages over devices and fabrication techniques of conventional semiconductor fabrication processes. For example, one technical advantage is in providing high performance devices on a same substrate with low power devices. Another technical advantage is to provide a first NMOS transistor element with a NMOS work function, a first PMOS transistor element with a PMOS work function, a second NMOS transistor element with the PMOS work function, and a second NMOS transistor element with the PMOS work function. Yet another technical advantage is to provide four different transistor elements using two different gate metals in order to have two CMOS devices with varying characteristics. Embodiments of the present disclosure may enjoy some, all, or none of these advantages. Other technical advantages may be readily apparent to one skilled in the art from the following figures, description, and claims.





BRIEF DESCRIPTION OF THE DRAWINGS

For a more complete understanding of the present disclosure, reference is made to the following description taken in conjunction with the accompanying drawings, wherein like reference numerals represent like parts, in which:



FIG. 1 illustrates a block diagram of a system on a chip having multiple device types;



FIG. 2 illustrates an example process flow for fabricating multiple devices on a chip according to a first embodiment;



FIGS. 3A-3H illustrate the changes in the structure of the multiple devices during the fabrication process of the first embodiment;



FIG. 4 illustrates an example process flow for fabricating multiple devices on a chip according to a second embodiment;



FIGS. 5A-5E illustrate the changes in the structure of the multiple devices during the fabrication process of the second embodiment;



FIG. 6 illustrates the structure with a channel region having a screening region, a threshold voltage setting region, and an undoped channel layer;



FIG. 7 illustrates the structure with a body tap region;



FIG. 8 illustrates a graph providing a relationship between on current and work function difference for each of a PMOS and NMOS transistor element;



FIG. 9 illustrates a graph providing a relationship between on current and off current with work function difference for each of a PMOS and NMOS transistor element.





DETAILED DESCRIPTION


FIG. 1 shows a block diagram of a system on a chip 100. Support of multiple device types on a single die diced from a single wafer is often required for high density integration of electronic devices. Common device types can include various combinations of digital or analog transistors that have distinct performance requirements, and require differing structure, voltage, and interconnect conditions for operation. Often called a system-on-a-chip (SoC), such integrated circuit die offer smaller size, improved performance, and lower power usage than systems that use multiple integrated circuit packages electrically connected together by motherboard, stack package, or through silicon via interconnects.


As seen in FIG. 1, a variety of different devices can exist on a single SoC 100. The SoC 100 may include conventional digital logic devices 104, analog devices 108, and conventional input 102 and output 106, high and low voltage threshold (VT) devices 112 and 114, and possibly other devices 110, which may be interconnected to each other within the die via a common bus, wire traces, or other suitable interconnections. The device types can differ, for example, in size, operating voltage, switching speed, threshold voltage, applied body bias, source and drain dopant implants, gate stack dielectric materials, gate metals, or digital or analog operation. The devices are preferably formed or otherwise processed as hulk CMOS on a common substrate (as opposed to silicon-on-insulator), typically silicon or other similar substrate, and are often used in computing devices, embedded control systems, integrated wireless controllers, cell phones, network routers or wireless points, sensors, mechanical or electrical controllers, or the like.


As compared to manufacture of a die with a single device type, multiple devices typically requires substantially more mask steps, since the different device types are constructed using differing process conditions. Regions of the die having one kind of device type must be masked to protect them from damage during processing of regions of the die having a second kind of device type. Typically, each additional device type requires at least one additional mask step, and can require even more depending on process compatibility of various devices. Since each additional mask step used to process a die of a semiconductor wafer increases cost, processing time, and possibility of manufacturing error, improvements that minimize the required number of mask steps are useful.


One procedure for minimizing mask steps can take advantage of device type differentiation by metal gate selection. Certain mask steps can be eliminated or substantially reduced by swapping the PMOS and NMOS metals between device types. For example, a semiconductor die can be processed to have a first device type that has a first PMOS transistor element with a metal gate M1 and a first NMOS transistor element with a metal gate M2. Instead of using a different metal N3 or additional process masking steps, a second device type on the same die can be processed to form a second PMOS transistor element with a metal gate M2 and a second NMOS transistor element with a metal gate M1. In effect, the respective PMOS and NMOS gate metals are swapped between device types, with the differing metal gate work functions resulting in different device types. Processing is simplified because an NMOS gate of a first CMOS device can be simultaneously built with a PMOS gate of a second CMOS device. Similarly, a PMOS gate of the first CMOS device can be simultaneously built with a NMOS gate of the second CMOS device. Table I shows various combinations of gate metals and two different device types each having NMOS and PMOS transistor elements,












TABLE I







Device type
Gate Metal type









Device 1 - NMOS transistor
M1 (NMOS work function)



Device 1 - PMOS transistor
M2 (PMOS work function)



Device 2 - NMOS transistor
M2 (PMOS work function)



Device 2 - PMOS transistor
M1 (NMOS work function)










This can be extended to larger numbers of devices with various possible combinations of gate metals providing different device types, each having NMOS and PMOS transistors.


Swapping metals can be optional, with certain combinations of device types and NMOS PHOS transistors having the same metal selection. In other situations, devices may have the same composition of materials but with different percentages of materials used. The present disclosure contemplates the use of any material for the gate regions of the transistor elements as long as a variation in work function, of at least approximately 100 millivolts as an example, is achieved between devices where desired. A masking step is used to expose those PMOS and NMOS transistor elements desired to have a first gate metal with a NMOS work function. The mask is then stripped away to expose the remaining PMOS and NMOS transistor elements desired to have a second gate metal with a PMOS work function. Though not necessary as the second metal gate can be formed on top of the first metal gate without changing transistor element performance, another masking step may be used to cover the transistor elements filled with the first gate metal. When using a third gate metal and a fourth gate metal and beyond, additional masking steps are only needed to ensure that each transistor element is initially filled with the desired gate metal.


Typically, the gate metal used provides a non-semiconductive material with a work function that approximates the work function of a semiconductive material that is doped to be of the same conductivity type. For example, a typical CMOS device may be formed with an n-channel transistor element having a tantalum-based gate electrode with a work function approximately the same as n-doped polysilicon. A p-channel transistor element of the CMOS device may be formed with a tantalum nitride-based gate electrode with a work function approximately the same as p-doped polysilicon. By swapping the gate metals, a second CMOS device may be concurrently formed having an n-channel transistor element with the tantalum nitride-based gate electrode with a work function approximately the same as p-doped polysilicon. Similarly, the second CMOS device can be concurrently formed with the p-channel transistor element having a tantalum-based gate electrode with a work function approximately the same as n-doped polysilicon. Metals that provide a NMOS work function between 4.1 eV and 4.3 eV include aluminum, titanium, and tantalum. Metals that provide a PMOS work function between 4.8 eV and 5.1 eV include nickel, platinum, and iridium. Through the use of alloying, larger ranges of work function can be achieved. The range of interest for transistor element work function is from band-edge to band-edge or 4.0 eV to 5.2 eV. However, effective work functions are somewhat removed from the band-edges. Such work functions range from about 4.2 eV to midgap for NMOS and midgap to 5.0 eV for PMOS. Thus, the use of appropriate metals and alloying can be used to tune the work function in a full range from band-edge to band-edge and provide a work function within hundreds of millivolts of a band-edge or from the midgap. It is contemplated that improved device performance can be achieved at about 300 millivolts from midgap though the use of differing performance characteristics may be desired throughout the full range.



FIG. 2 shows one example of fabrication process 200 for manufacturing a transistors according to an embodiment of the present disclosure. FIGS. 3A-3H show the resulting structure 300 after each process step. The process begins in block 202 by implanting N-well regions 304 and 306 into a substrate 302 for PMOS transistor elements 301 and 303. Conventional photoresist techniques may be performed to mask desired portions of substrate 302 and expose desired implant areas for the N-well regions 304 and 306. The process continues in block 204 where P-well regions 308 and 310 are implanted into substrate 302 for NMOS transistor elements 305 and 307. Conventional photoresist techniques may be performed to mask desired portions of substrate 302 and expose desired implant areas for the P-well regions 308 and 310. PMOS transistor element 301 and NMOS transistor element 305 form a first device and NMOS transistor element 307 and PMOS transistor element 303 form a second device.


The process continues at block 206 with the formation of channel regions 312 and 314 appropriate for each of PMOS transistor elements 301 and 303 and NMOS transistor elements 305 and 307. Device definition and separation may be achieved at block 208 by forming separation regions 315 in structure 300, for example through shallow trench isolation. Initial gate formation occurs at block 210 where a polysilicon region is deposited on structure 300 and etched away where appropriate to leave initial gate areas 316. Link regions 318 and 320 appropriate for each PMOS transistor elements 301 and 303 and NMOS transistor elements 305 and 307 are implanted into channel region 312 at block 212. Spacer regions 322 may then be formed at block 214 abutting initial gate areas 316 to prevent source/drain to body silicidation shorting. Source and drain regions 324 and 326 are then implanted at block 216 through link regions 318 and 320 and channel regions 312 and 314 for each of PMOS transistor elements 301 and 303 and NMOS transistor elements 305 and 307. Conventional annealing steps may be performed at each implant step as desired.


Formation of the final gate regions 328 and 330 begin at block 218 by depositing a salicide layer 332 and then removing the initial gate areas 316. Work function metal deposition for PMOS transistor element 301 and NMOS transistor element 307 is performed at block 220. Work function metal deposition for NMOS transistor element 305 and PMOS transistor element 303 is performed at block 222. Metal fill deposition and polish is performed at block 224 to define final gate regions 328 and 330. A first metal type is used for PMOS transistor element 301 and NMOS transistor element 307. A second metal type is used for NMOS transistor element 305 and PMOS transistor element 303. Conventional processing is then performed at block 226 to obtain the final structures for PMOS transistor elements 301 and 303 and NMOS transistor elements 305 and 307.


Though described as process steps performed in a stated order, particular process steps may be performed at different points in the process flow and in a different order with respect to other process steps as desired to achieve a similar final structure design.


For example, the present disclosure also contemplates a gate first fabrication process. FIG. 4 shows the process steps 400 for generating transistor elements by first forming the gates of the transistor elements. FIGS. 5A-5E show the resulting structure 500 after each process step. The process begins in block 402 by implanting N-well regions 504 and 506 into a substrate 502 for PMOS transistor elements 501 and 503. Conventional photoresist techniques may be performed to mask desired portions of substrate 502 and expose desired implant areas for the N-well regions 504 and 506. The process continues in block 404 where P-well regions 508 and 510 are implanted into substrate 502 for NMOS transistor elements 505 and 507. Conventional photoresist techniques may be performed to mask desired portions of substrate 502 and expose desired implant areas for the P-well regions 508 and 510. PMOS transistor element 501 and NMOS transistor element 505 form a first transistor pair and NMOS transistor element 505 and PMOS transistor element 503 form a second transistor pair.


Device definition and separation may be achieved at block 406 by forming separation regions 515 in structure 500, for example through shallow trench isolation. Formation of metal gate regions 512 and 514 begins at block 408 by appropriate poly deposition, etching to define the gate regions, masking of desired transistor element areas, and metal deposition. In this example, PMOS transistor element 501 and NMOS transistor element 507 will have a first metal type for their respective gate electrode. NMOS transistor element 505 and PMOS transistor element 503 will have a second metal type for their respective gate electrodes.


Work function metal deposition for PMOS transistor element 501 and NMOS transistor element 507 is performed at block 410 with, for example, a PMOS work function metal. Work function metal deposition for NMOS transistor element 505 and PMOS transistor element 503 is performed at block 412 with, for example, a NMOS work function metal. Any other metal fill deposition and polish is performed at block 414 to define gate regions 512 and 514. Conventional processing is then performed at block 416 to obtain the final structures for PMOS transistor elements 501 and 503 and NMOS transistor elements 505 and 507.


Additional process steps may also be performed in order to provide different transistor characteristics. For example, channel regions 312 and 314 may be formed by traditional ion implantation processes. Alternatively, channel regions 312 and 314 may be formed as a substantially undoped layer of silicon, silicon germanium, or other suitable material epitaxially grown on substrate 302 or otherwise formed thereon or therein. The undoped channel layer is not subjected to dopant implantation and is considered undoped as long as the dopant concentration is less than 5×1017 atoms/cm3.



FIG. 6 shows an alternative formation for a channel in any of PMOS transistor elements 301 and 305 and NMOS transistor elements 303 and 307. A deeply depleted channel may be implemented that includes a screening region 602, a threshold voltage setting region 604, and an undoped channel region 606. The threshold voltage setting region 604 can be formed as a layer offset from both the screening region 602 and the undoped channel region 606. Such an offset layer can be formed by delta doping, implant into epitaxially grown layers, atomic layer deposition, or other available techniques for forming a well-defined dopant layer with a dopant concentration less than that of screening region 602. In certain embodiments, threshold voltage setting region 606, while being formed by implant, in-situ growth, or controlled diffusion from screening region 602, may be in contact with screening region 602.


In certain cases, the use of the described threshold voltage setting region 604 and/or undoped channel region 606 and screening region 602 may be required for device operation. For example, in advanced nodes the chip supply voltage is often about one (1) volt, and a PMOS/NMOS metal swap between devices without additional significant threshold voltage adjustment can result some devices having an unacceptably high threshold voltages near or greater than 1 volt. This would result in a failure of the transistor to turn on or off, which in turn could result in failure of the system on the chip or system electronics. Even if the threshold voltage is nominally set lower than the supply voltage by appropriate metal selections, if the threshold voltage distribution range is not tightly controlled by use of the described threshold voltage setting region 604 and/or undoped channel region 606 and screening region 602, there is a large probability of system failure (due to an anomalously high threshold voltage device) when statistical variations in threshold voltage of millions or billions of devices are considered.


Formation of these regions that enable statistically well-controlled threshold voltage variation and allow for significant threshold voltage adjustments, may be performed during formation of the channel regions 312 and 314 in FIG. 3B at block 206 of FIG. 2. Screening region 602 is a heavily doped region formed in the associated well region. Screening region 602 has a greater dopant concentration than the associated well region. Screening region 602 reduces any additional charges that may be created by random dopant variation in threshold voltage setting region 604. Screening region 602 creates image charges that negate fixed charges associated with threshold voltage setting region 604. By reducing this additional charge in threshold voltage setting region 604, the variation of the threshold voltage can be reduced. Furthermore, forming threshold voltage setting region 604 in an offset region from both undoped channel region 606 and a gate dielectric has several advantages. One advantage is the threshold voltage can be tuned over a wide range without degrading the statistical spread in the distribution (sigma VT). The second advantage of placing dopants in an offset region results from nearly constant short channel effects even when random dopant fluctuations occur in threshold voltage setting region 604. In contrast to devices having doped channels, undoped channel devices with offset threshold voltage setting regions 604 will provide nearly constant sub-threshold swing (typically about 80 to 110 mv/decade) device to device, even for a large number of transistors, since random dopant fluctuations are minimized.


Threshold voltage setting region 604 is used to set the threshold voltage for the particular transistor element based in part on the number of dopants implanted therein. For example, various combinations of transistor elements may be formed. For advanced semiconductor process nodes with 65 nm gate length and below, low VT transistor element may be formed with a dopant concentration in the range of 0.5×1018 to 1.5×1018 atoms/cm3. A medium VT transistor element may be formed with a dopant concentration in the range of 1.5×1018 to 3.0×1018 atoms/cm3. A high VT transistor element may be formed with a dopant concentration in the range of 3.0×1018 to 6.0×1018 atoms/cm3. These dopant concentrations can be reduced by 25% to 50% while maintaining the appropriate threshold voltage through the use of an appropriate work function of the gate metal. Swapping the gate metals, for example by providing a first gate metal with a PMOS work function in a NMOS transistor element, allows for further flexibility in establishing the threshold voltage for the transistor element.



FIG. 7 shows another embodiment of the structure that includes a body tap region 702 electrically connected to N-well 706 or P-well 708 on substrate 700. A body tap region 702 may be formed with any or all of PMOS transistor elements 701 and 705 (not shown) and NMOS transistor elements 703 and 707 (not shown). Though shown in relation to a channel region having an undoped channel layer 714 over a screening region 710 and a threshold voltage setting region 712, the use of body tap region 702 can be equally implemented with a channel region having a standard channel layer or a single undoped channel layer as described above. In addition to shallow trench isolation (STI) 716, partial isolation region 715 may optionally be used to separate body tap region 702 from its corresponding transistor element. Body tap region 702 allows for additional bias control and can be used in the setting of the threshold voltage. The use of body tap region 702 provides another way of modifying the threshold voltage of the associated transistor element. Through body tap region 702, a same magnitude of threshold voltage adjustment can be achieved as provided with the use of threshold voltage setting region 712 and screening region 710.


Functionally, one result of swapping NMOS and PMOS metals with different work functions between device types is modification of the threshold voltage and off state current of the transistor. As will be appreciated, threshold voltage and off state current are critical parameters in transistor operation, particularly affecting overall device power leakage and transistor switching speed. Low VT transistors switch quickly with good operating current (Ion), but typically have high current leakage (Ioff) in off or standby states. High VT transistors are slower to switch, but typically have low current leakage Ioff in off or standby states. SoC die can support both types of transistors, grouped into high performance paths or slower access/low power blocks.


The advantage of swapping NMOS and PMOS metals between two device types is illustrated with respect to FIG. 8, which shows a graph 800 with NMOS/PMOS Ion versus work function (WF) difference from silicon midgap. The dotted line corresponds to PMOS type transistors, and the solid line corresponds to NMOS type transistors. In FIG. 8, a possible metal selection/gate work function for a first NMOS transistor element is indicated by a solid circle 802, and possible metal selection/gate work function for first PMOS transistor element is indicated by a dotted circle 804. Swapping the PMOS/NMOS metals results in NMOS metal on a second PMOS transistor element as indicated by the solid circle 806, and a PMOS metal on a second NMOS transistor element as indicated by the dotted circle 808.


Presented alternatively, graph 900 of FIG. 9 shows the data of FIG. 8 represented as Ion and Ioff for the same range of work functions. The dotted line corresponds to PMOS type transistors and the solid line corresponds to NMOS type transistors. In FIG. 9, a possible metal selection/gate work function for a first NMOS transistor element is indicated by a solid circle 902 and 908 and possible metal selection/gate work function for first PMOS transistor element is indicated by a dotted circle 904 and 906. With only two metals, four different device types of greatly differing characteristics can be formed by the NMOS/PMOS swap.


Other advantages can be achieved by the structure disclosed herein. For a given add, the structure enables coexistence of transistors (or circuits) having the usual high VT, medium VT, and low VT parameters that exist within a 1-40× leakage range with a new set of transistors (or circuits) enabled by the gate metal swap with relative leakages 50× or lower. In addition, a 25% percent reduction in drive current from one device to another is also achieved between transistor sets. For example, a first NMOS transistor element can be formed with a predetermined first leakage while the second NMOS transistor element can be formed with a second leakage that can be ten to fifty times lower than the predetermined first leakage. In addition, a first NMOS transistor element can be formed with a predetermined first drive current while the second NMOS transistor element can be formed with a second drive current that can be three to six times more than the predetermined first drive current.



FIGS. 8 and 9 illustrate the advantage using only two metals to create four different device types of greatly differing characteristics, but this can be extended to greater numbers of device types. Swapping the NMOS/PMOS metals allows up to twice the number of device types without requiring additional mask steps (unless such mask steps are desired to create additional device types). Generally, for some number of metals N selected for a transistor element gate, 2N device types can be simply formed on a Sod; without a substantial increase in processing or mask steps.


As Will be appreciated, by selecting suitable metal/work function for a transistor element's gate, while otherwise keeping device structure and manufacturing processes the same, allows both high performance and low power digital devices, for example, to be economically manufactured on the same die. Alternatively, swapped NMOS/PMOS gate metal can allow digital devices and analog devices to be constructed on the same SoC. Similarly, high linear sensitivity analog devices and analog I/O devices, or deeply depleted digital and analog devices (DDC) in combination with any conventional analog or digital device can be formed. Further improvements can be achieved in threshold voltage shifting capabilities using an undoped channel as opposed to foundry doped channels that limit the threshold voltage shifting range. Moreover, most SoC implementations as shown in FIG. 1 mask out large sections of the substrate in order to isolate devices to certain locations therein. Through selective masking and device formation, adjacent transistor elements on a substrate may be formed with different characteristics to make different device types that may be connected together due to their proximity.


Although the present disclosure has been described in detail with reference to a particular embodiment, it should be understood that various other changes, substitutions, and alterations may be made hereto without departing from the spirit and scope of the appended claims. For example, although the present disclosure includes a description with reference to a specific ordering of processes, other process sequencing may be followed and other incidental process steps may be performed to achieve the end result discussed herein.


Numerous other changes, substitutions, variations, alterations, and modifications may be ascertained by those skilled in the art and it is intended that the present disclosure encompass all such changes, substitutions, variations, alterations, and modifications as falling within the spirit and scope of the appended claims. Moreover, the present disclosure is not intended to be limited in any way by any statement in the specification that is not otherwise reflected in the appended claims.

Claims
  • 1. A semiconductor structure, comprising; first and second PMOS transistor elements and first and second NMOS transistor elements, wherein the first PMOS transistor element and the first NMOS transistor element establish a first CMOS transistor pair, and the second PMOS transistor element and the second NMOS transistor element establish a second CMOS transistor pair;a first threshold voltage setting region extending under a gate of at least one of the first and second PMOS transistor elements, the first threshold voltage region defining a depletion zone when a voltage to the transistor elements is applied;a second threshold voltage setting region extending under a gate of at least one of the first and second NMOS transistor elements, the second threshold voltage setting region defining a depletion zone when the voltage to the transistor elements is applied;a substantially undoped channel region disposed above the first and second threshold voltage setting regions and below the gates of each of the first and second PMOS transistor elements and the first and second NMOS transistor elements;only the gate of the first PMOS transistor element of the first CMOS transistor pair and the gate of the second NMOS transistor element of the second CMOS transistor pair comprising a deposited first gate metal; andonly the gate of the first NMOS transistor element of the first CMOS transistor pair and the gate of the second PMOS transistor element of the second CMOS transistor pair comprising a deposited second gate metal.
  • 2. The structure of claim 1, wherein second gate metal is a modification of the first gate metal.
  • 3. The structure of claim 1, wherein at least one of the first gate metal and the second gate metal has a work function between 100 millivolts from band edge to midgap.
  • 4. The structure of claim 1, wherein at least one of the first gate metal and the second gate metal has a work function selected to be between midgap and 300 millivolts from midgap.
  • 5. The structure of claim 1, further comprising: first and second PMOS transistor elements and first and second NJMOS transistor elements, respectively formed after formation of any source and drain regions of the first and second PMOS transistor elements and the first and second NMOS transistor elements.
  • 6. The structure of claim 1, further comprising: first and second PMOS transistor elements and first and second NMOS transistor elements, respectively formed prior to formation of any source and drain regions of the first and second PMOS transistor elements and the first and second NMOS transistor elements.
  • 7. The structure of claim 1, further comprising: a body tap region connected to at least one of the first and second PMOS transistor elements and first and second NMOS transistor elements to selectively apply a bias thereto.
  • 8. The structure of claim 1, wherein the undoped channel is epitaxially grown silicon.
  • 9. The structure of claim 1, wherein the first NMOS transistor element operates with a predetermined first leakage, the second NMOS transistor element operates with a second leakage at least ten times lower than the predetermined first leakage.
  • 10. The structure of claim 1, wherein the first NMOS transistor element operates with a predetermined first leakage, the second NMOS transistor element operates with a second leakage at least fifty times lower than the predetermined first leakage.
  • 11. The structure of claim 1, further comprising: a first screening region extending under the gate of the at least one of the first and second PMOS transistor elements and positioned below the first threshold voltage setting region;a second screening region extending under the gate of the at least one of the first and second NMOS transistor elements and positioned below the second threshold voltage setting region.
  • 12. The structure of claim 11, wherein the first screening region has a higher dopant concentration than the first threshold voltage setting region.
  • 13. The structure of claim 11, wherein the second screening region has a higher dopant concentration than the second threshold voltage setting region.
  • 14. The structure of claim 11, wherein the first threshold voltage setting region is in contact with the first screening region.
  • 15. The structure of claim 11, wherein the second threshold voltage setting region is in contact with the second screening region.
  • 16. The structure of claim 11, wherein the first gate metal includes any of tantalum silicon nitride (TaSiN), titanium nitride (TiN), and tantalum nitride (TaN).
  • 17. The structure of claim 11, wherein the second gate metal includes any of ruthenium (Ru), molybdenum (Mo), or tungsten (W).
  • 18. The structure of claim 11, wherein the first gate metal includes any of aluminum, titanium, tantalum, and alloys thereof.
  • 19. The structure of claim 11, wherein the second gate metal includes any of nickel, platinum, iridium, and alloys thereof.
  • 20. The structure of claim 11, wherein the first gate metal and the second gate metal have a same composition of materials but with different percentages of materials used.
CROSS REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. application Ser. No. 12/960,266 filed Dec. 3, 2010, which claims the benefit of U.S. Provisional Application No. 61/357,002 filed Jun. 21, 2010, each of which is hereby incorporated by reference herein.

US Referenced Citations (507)
Number Name Date Kind
3958266 Athanas May 1976 A
4000504 Berger Dec 1976 A
4021835 Etoh et al. May 1977 A
4242691 Kotani et al. Dec 1980 A
4276095 Beilstein, Jr. et al. Jun 1981 A
4315781 Henderson Feb 1982 A
4518926 Swanson May 1985 A
4559091 Allen et al. Dec 1985 A
4578128 Mundt et al. Mar 1986 A
4617066 Vasudev Oct 1986 A
4662061 Malhi May 1987 A
4761384 Neppl et al. Aug 1988 A
4780748 Cunningham et al. Oct 1988 A
4819043 Yazawa et al. Apr 1989 A
4885477 Bird et al. Dec 1989 A
4908681 Nishida et al. Mar 1990 A
4945254 Robbins Jul 1990 A
4956311 Liou et al. Sep 1990 A
5034337 Mosher et al. Jul 1991 A
5144378 Hikosaka Sep 1992 A
5156989 Williams et al. Oct 1992 A
5156990 Mitchell Oct 1992 A
5166765 Lee et al. Nov 1992 A
5208473 Komori et al. May 1993 A
5294821 Iwamatsu Mar 1994 A
5298763 Shen et al. Mar 1994 A
5369288 Usuki Nov 1994 A
5373186 Schubert et al. Dec 1994 A
5384476 Nishizawa et al. Jan 1995 A
5426328 Yilmaz et al. Jun 1995 A
5444008 Han et al. Aug 1995 A
5552332 Tseng et al. Sep 1996 A
5559368 Hu et al. Sep 1996 A
5608253 Liu et al. Mar 1997 A
5622880 Burr et al. Apr 1997 A
5624863 Helm et al. Apr 1997 A
5625568 Edwards et al. Apr 1997 A
5641980 Yamaguchi et al. Jun 1997 A
5663583 Matloubian et al. Sep 1997 A
5712501 Davies et al. Jan 1998 A
5719422 Burr et al. Feb 1998 A
5726488 Watanabe et al. Mar 1998 A
5726562 Mizuno Mar 1998 A
5731626 Eaglesham et al. Mar 1998 A
5736419 Naem Apr 1998 A
5753555 Hada May 1998 A
5754826 Gamal et al. May 1998 A
5756365 Kakumu May 1998 A
5763921 Okumura et al. Jun 1998 A
5780899 Hu et al. Jul 1998 A
5847419 Imai et al. Dec 1998 A
5856003 Chiu Jan 1999 A
5861334 Rho Jan 1999 A
5877049 Liu et al. Mar 1999 A
5885876 Dennen Mar 1999 A
5889315 Farrenkopf et al. Mar 1999 A
5895954 Yasumura et al. Apr 1999 A
5899714 Farremkopf et al. May 1999 A
5918129 Fulford, Jr. et al. Jun 1999 A
5923067 Voldman Jul 1999 A
5923987 Burr Jul 1999 A
5936868 Hall Aug 1999 A
5946214 Heavlin et al. Aug 1999 A
5985705 Seliskar Nov 1999 A
5989963 Luning et al. Nov 1999 A
6001695 Wu Dec 1999 A
6020227 Bulucea Feb 2000 A
6043139 Eaglesham et al. Mar 2000 A
6060345 Hause et al. May 2000 A
6060364 Maszara et al. May 2000 A
6066533 Yu May 2000 A
6072217 Burr Jun 2000 A
6087210 Sohn Jul 2000 A
6087691 Hamamoto Jul 2000 A
6088518 Hsu Jul 2000 A
6091286 Blauschild Jul 2000 A
6096611 Wu Aug 2000 A
6103562 Son et al. Aug 2000 A
6121153 Kikkawa Sep 2000 A
6147383 Kuroda Nov 2000 A
6153920 Gossmann et al. Nov 2000 A
6157073 Lehongres Dec 2000 A
6175582 Naito et al. Jan 2001 B1
6184112 Maszara et al. Feb 2001 B1
6190979 Radens et al. Feb 2001 B1
6194259 Nayak et al. Feb 2001 B1
6198157 Ishida et al. Mar 2001 B1
6218892 Soumyanath et al. Apr 2001 B1
6218895 De et al. Apr 2001 B1
6221724 Yu et al. Apr 2001 B1
6229188 Aoki et al. May 2001 B1
6232164 Tsai et al. May 2001 B1
6235597 Miles May 2001 B1
6245618 An et al. Jun 2001 B1
6268640 Park et al. Jul 2001 B1
6271070 Kotani et al. Aug 2001 B2
6271551 Schmitz et al. Aug 2001 B1
6288429 Iwata et al. Sep 2001 B1
6297082 Lin Oct 2001 B1
6297132 Zhang et al. Oct 2001 B1
6300177 Sundaresan et al. Oct 2001 B1
6313489 Letavic et al. Nov 2001 B1
6319799 Ouyang et al. Nov 2001 B1
6320222 Forbes et al. Nov 2001 B1
6323525 Noguchi et al. Nov 2001 B1
6326666 Bernstein et al. Dec 2001 B1
6335233 Cho et al. Jan 2002 B1
6358806 Puchner Mar 2002 B1
6380019 Yu et al. Apr 2002 B1
6391752 Colinge et al. May 2002 B1
6426260 Hshieh Jul 2002 B1
6426279 Huster et al. Jul 2002 B1
6432754 Assaderaghi et al. Aug 2002 B1
6444550 Hao et al. Sep 2002 B1
6444551 Ku et al. Sep 2002 B1
6449749 Stine Sep 2002 B1
6461920 Shirahata Oct 2002 B1
6461928 Rodder Oct 2002 B2
6472278 Marshall et al. Oct 2002 B1
6482714 Hieda et al. Nov 2002 B1
6489224 Burr Dec 2002 B1
6492232 Tang et al. Dec 2002 B1
6500739 Wang et al. Dec 2002 B1
6503801 Rouse et al. Jan 2003 B1
6503805 Wang et al. Jan 2003 B2
6506640 Ishida et al. Jan 2003 B1
6518623 Oda et al. Feb 2003 B1
6521470 Lin et al. Feb 2003 B1
6534373 Yu Mar 2003 B1
6541328 Whang et al. Apr 2003 B2
6541829 Nishinohara et al. Apr 2003 B2
6548842 Bulucca et al. Apr 2003 B1
6551885 Yu Apr 2003 B1
6552377 Yu Apr 2003 B1
6573129 Hoke et al. Jun 2003 B2
6576535 Drobny et al. Jun 2003 B2
6600200 Lustig et al. Jul 2003 B1
6620671 Wang et al. Sep 2003 B1
6624488 Kim Sep 2003 B1
6627473 Oikawa et al. Sep 2003 B1
6630710 Augusto Oct 2003 B1
6660605 Liu Dec 2003 B1
6662350 Fried et al. Dec 2003 B2
6667200 Sohn et al. Dec 2003 B2
6670260 Yu et al. Dec 2003 B1
6693333 Yu Feb 2004 B1
6730568 Sohn May 2004 B2
6737724 Hieda et al. May 2004 B2
6743291 Ang et al. Jun 2004 B2
6743684 Liu Jun 2004 B2
6751519 Satya et al. Jun 2004 B1
6753230 Sohn et al. Jun 2004 B2
6760900 Rategh et al. Jul 2004 B2
6770944 Nishinohara Aug 2004 B2
6787424 Yu Sep 2004 B1
6797553 Adkisson et al. Sep 2004 B2
6797602 Kluth et al. Sep 2004 B1
6797994 Hoke et al. Sep 2004 B1
6808004 Kamm et al. Oct 2004 B2
6808994 Wang Oct 2004 B1
6813750 Usami et al. Nov 2004 B2
6821825 Todd et al. Nov 2004 B2
6821852 Rhodes Nov 2004 B2
6822297 Nandakumar et al. Nov 2004 B2
6831292 Currie et al. Dec 2004 B2
6835639 Rotondaro et al. Dec 2004 B2
6852602 Kanzawa et al. Feb 2005 B2
6852603 Chakravarthi et al. Feb 2005 B2
6881641 Wieczorek et al. Apr 2005 B2
6881987 Sohn Apr 2005 B2
6891439 Jachne et al. May 2005 B2
6893947 Martinez et al. May 2005 B2
6900519 Cantell et al. May 2005 B2
6901564 Stine et al. May 2005 B2
6916698 Mocuta et al. Jul 2005 B2
6917237 Tschanz et al. Jul 2005 B1
6927463 Iwata et al. Aug 2005 B2
6928128 Sidiropoulos Aug 2005 B1
6930007 Bu et al. Aug 2005 B2
6930360 Yamauchi et al. Aug 2005 B2
6957163 Ando Oct 2005 B2
6963090 Passlack et al. Nov 2005 B2
6995397 Yamashita et al. Feb 2006 B2
7002214 Boyd et al. Feb 2006 B1
7008836 Algotsson et al. Mar 2006 B2
7013359 Li Mar 2006 B1
7015546 Herr et al. Mar 2006 B2
7015741 Tschanz et al. Mar 2006 B2
7022559 Barnak et al. Apr 2006 B2
7036098 Eleyan et al. Apr 2006 B2
7038258 Liu et al. May 2006 B2
7039881 Regan May 2006 B2
7045456 Murto et al. May 2006 B2
7057216 Ouyang et al. Jun 2006 B2
7061058 Chakravarthi et al. Jun 2006 B2
7064039 Liu Jun 2006 B2
7064399 Babcock et al. Jun 2006 B2
7071103 Chan et al. Jul 2006 B2
7078325 Curello et al. Jul 2006 B2
7078776 Nishinohara et al. Jul 2006 B2
7089513 Bard et al. Aug 2006 B2
7089515 Hanafi et al. Aug 2006 B2
7091093 Noda et al. Aug 2006 B1
7105399 Dakshina-Murthy et al. Sep 2006 B1
7109099 Tan et al. Sep 2006 B2
7119381 Passlack Oct 2006 B2
7122411 Mouli Oct 2006 B2
7127687 Signore Oct 2006 B1
7132323 Haensch et al. Nov 2006 B2
7169675 Tan et al. Jan 2007 B2
7170120 Datta et al. Jan 2007 B2
7176137 Perug et al. Feb 2007 B2
7186598 Yamauchi et al. Mar 2007 B2
7189627 Wu et al. Mar 2007 B2
7199430 Babcock et al. Apr 2007 B2
7202517 Dixit et al. Apr 2007 B2
7208354 Bauer Apr 2007 B2
7211871 Cho May 2007 B2
7221021 Wu et al. May 2007 B2
7223646 Miyashita et al. May 2007 B2
7226833 White et al. Jun 2007 B2
7226843 Weber et al. Jun 2007 B2
7230680 Fujisawa et al. Jun 2007 B2
7235822 Li Jun 2007 B2
7256639 Koniaris et al. Aug 2007 B1
7259428 Inaba Aug 2007 B2
7260562 Czajkowski et al. Aug 2007 B2
7294877 Rueckes et al. Nov 2007 B2
7297994 Wieczorek et al. Nov 2007 B2
7301208 Handa et al. Nov 2007 B2
7304350 Misaki Dec 2007 B2
7307471 Gammie et al. Dec 2007 B2
7312500 Miyashita et al. Dec 2007 B2
7323754 Ema et al. Jan 2008 B2
7332439 Lindert et al. Feb 2008 B2
7348629 Chu et al. Mar 2008 B2
7354833 Liaw Apr 2008 B2
7380225 Joshi et al. May 2008 B2
7398497 Sato et al. Jul 2008 B2
7402207 Besser et al. Jul 2008 B1
7402872 Murthy et al. Jul 2008 B2
7416605 Zollner et al. Aug 2008 B2
7427788 Li et al. Sep 2008 B2
7442971 Wirbeleit et al. Oct 2008 B2
7449733 Inaba et al. Nov 2008 B2
7462908 Bol et al. Dec 2008 B2
7469164 Du-Nour Dec 2008 B2
7470593 Rouh et al. Dec 2008 B2
7485536 Jin et al. Feb 2009 B2
7487474 Ciplickas et al. Feb 2009 B2
7491988 Tolchinsky et al. Feb 2009 B2
7494861 Chu et al. Feb 2009 B2
7496862 Chang et al. Feb 2009 B2
7496867 Turner et al. Feb 2009 B2
7498637 Yamaoka et al. Mar 2009 B2
7501324 Babcock et al. Mar 2009 B2
7503020 Allen et al. Mar 2009 B2
7507999 Kusumoto et al. Mar 2009 B2
7514766 Yoshida Apr 2009 B2
7521323 Surdeanu et al. Apr 2009 B2
7531393 Doyle et al. May 2009 B2
7531836 Liu et al. May 2009 B2
7538364 Twynam May 2009 B2
7538412 Schulze et al. May 2009 B2
7562233 Sheng et al. Jul 2009 B1
7564105 Chi et al. Jul 2009 B2
7566600 Mouli Jul 2009 B2
7569456 Ko et al. Aug 2009 B2
7586322 Xu et al. Sep 2009 B1
7592241 Takao Sep 2009 B2
7595243 Bulucea et al. Sep 2009 B1
7598142 Ranade et al. Oct 2009 B2
7605041 Ema et al. Oct 2009 B2
7605060 Meunier-Beillard et al. Oct 2009 B2
7605429 Bertstein et al. Oct 2009 B2
7608496 Chu Oct 2009 B2
7615802 Elpelt et al. Nov 2009 B2
7622341 Chudzik et al. Nov 2009 B2
7638380 Pearce Dec 2009 B2
7642140 Bae et al. Jan 2010 B2
7644377 Saxe et al. Jan 2010 B1
7645665 Kubo et al. Jan 2010 B2
7651920 Siprak Jan 2010 B2
7655523 Babcock et al. Feb 2010 B2
7673273 Madurawe et al. Mar 2010 B2
7675126 Cho Mar 2010 B2
7675317 Perisetty Mar 2010 B2
7678638 Chu et al. Mar 2010 B2
7681628 Joshi et al. Mar 2010 B2
7682887 Dokumaci et al. Mar 2010 B2
7683442 Burr et al. Mar 2010 B1
7696000 Liu et al. Apr 2010 B2
7704822 Jeong Apr 2010 B2
7704844 Zhu et al. Apr 2010 B2
7709828 Braithwaite et al. May 2010 B2
7723750 Zhu et al. May 2010 B2
7737472 Kondo et al. Jun 2010 B2
7741138 Cho Jun 2010 B2
7741200 Cho et al. Jun 2010 B2
7745270 Shah et al. Jun 2010 B2
7750374 Capasso et al. Jul 2010 B2
7750381 Hokazono et al. Jul 2010 B2
7750405 Nowak Jul 2010 B2
7750682 Bernstein et al. Jul 2010 B2
7755144 Li et al. Jul 2010 B2
7755146 Helm et al. Jul 2010 B2
7759206 Luo et al. Jul 2010 B2
7759714 Itoh et al. Jul 2010 B2
7761820 Berger et al. Jul 2010 B2
7795677 Bangsaruntip et al. Sep 2010 B2
7808045 Kawahara et al. Oct 2010 B2
7808410 Kim et al. Oct 2010 B2
7811873 Mochizuki Oct 2010 B2
7811881 Cheng et al. Oct 2010 B2
7818702 Mandelman et al. Oct 2010 B2
7821066 Lebby et al. Oct 2010 B2
7829402 Matocha et al. Nov 2010 B2
7831873 Trimberger et al. Nov 2010 B1
7846822 Seebauer et al. Dec 2010 B2
7855118 Hoentschel et al. Dec 2010 B2
7859013 Chen et al. Dec 2010 B2
7863163 Bauer Jan 2011 B2
7867835 Lee et al. Jan 2011 B2
7883977 Babcock et al. Feb 2011 B2
7888205 Herner et al. Feb 2011 B2
7888747 Hokazono Feb 2011 B2
7895546 Lahner et al. Feb 2011 B2
7897495 Ye et al. Mar 2011 B2
7906413 Cardone et al. Mar 2011 B2
7906813 Kato Mar 2011 B2
7910419 Fenouillet-Beranger et al. Mar 2011 B2
7919791 Flynn et al. Apr 2011 B2
7926018 Moroz et al. Apr 2011 B2
7935984 Nakano May 2011 B2
7941776 Majumder et al. May 2011 B2
7943462 Beyer et al. May 2011 B1
7945800 Gomm et al. May 2011 B2
7948008 Liu et al. May 2011 B2
7952147 Ueno et al. May 2011 B2
7960232 King et al. Jun 2011 B2
7960238 Kohli et al. Jun 2011 B2
7968400 Cai Jun 2011 B2
7968411 Williford Jun 2011 B2
7968440 Seebauer Jun 2011 B2
7968459 Bedell et al. Jun 2011 B2
7989900 Haensch et al. Aug 2011 B2
7994573 Pan Aug 2011 B2
8004024 Furukawa et al. Aug 2011 B2
8012827 Yu et al. Sep 2011 B2
8029620 Kim et al. Oct 2011 B2
8039332 Bernard et al. Oct 2011 B2
8046598 Lee Oct 2011 B2
8048791 Hargrove et al. Nov 2011 B2
8048810 Tsai et al. Nov 2011 B2
8051340 Cranford, Jr. et al. Nov 2011 B2
8053340 Colombeau et al. Nov 2011 B2
8063466 Kurita Nov 2011 B2
8067279 Sadra et al. Nov 2011 B2
8067280 Wang et al. Nov 2011 B2
8067302 Li Nov 2011 B2
8076719 Zeng et al. Dec 2011 B2
8097529 Krull et al. Jan 2012 B2
8103983 Agarwal et al. Jan 2012 B2
8105891 Yeh et al. Jan 2012 B2
8106424 Schruefer Jan 2012 B2
8106481 Rao Jan 2012 B2
8110487 Griebenow et al. Feb 2012 B2
8114761 Mandrekar et al. Feb 2012 B2
8119482 Bhalla et al. Feb 2012 B2
8120069 Hynecek Feb 2012 B2
8129246 Babcock et al. Mar 2012 B2
8129797 Chen et al. Mar 2012 B2
8134159 Hokazono Mar 2012 B2
8143120 Kerr et al. Mar 2012 B2
8143124 Challa et al. Mar 2012 B2
8143678 Kim et al. Mar 2012 B2
8148774 Mori et al. Apr 2012 B2
8163619 Yang et al. Apr 2012 B2
8169002 Chang et al. May 2012 B2
8170857 Joshi et al. May 2012 B2
8173499 Chung et al. May 2012 B2
8173502 Yan et al. May 2012 B2
8176461 Trimberger May 2012 B1
8178430 Kim et al. May 2012 B2
8179530 Levy et al. May 2012 B2
8183096 Wirbeleit May 2012 B2
8183107 Mathur et al. May 2012 B2
8185865 Gupta et al. May 2012 B2
8187959 Pawlak et al. May 2012 B2
8188542 Yoo et al. May 2012 B2
8196545 Kurosawa Jun 2012 B2
8201122 Dewey, III et al. Jun 2012 B2
8214190 Joshi et al. Jul 2012 B2
8217423 Liu et al. Jul 2012 B2
8225255 Ouyang et al. Jul 2012 B2
8227307 Chen et al. Jul 2012 B2
8236661 Dennard et al. Aug 2012 B2
8239803 Kobayashi Aug 2012 B2
8247300 Babcock et al. Aug 2012 B2
8255843 Chen et al. Aug 2012 B2
8258026 Bulucea Sep 2012 B2
8266567 El Yahyaoui et al. Sep 2012 B2
8286180 Foo Oct 2012 B2
8288798 Passlack Oct 2012 B2
8294180 Doyle et al. Oct 2012 B2
8299562 Li et al. Oct 2012 B2
8324059 Guo et al. Dec 2012 B2
8372721 Chen et al. Feb 2013 B2
20010014495 Yu Aug 2001 A1
20020042184 Nandakumar et al. Apr 2002 A1
20030006415 Yokogawa et al. Jan 2003 A1
20030047763 Hieda et al. Mar 2003 A1
20030122203 Nishinohara Jul 2003 A1
20030173626 Burr Sep 2003 A1
20030183856 Wieczorek Oct 2003 A1
20030215992 Sohn et al. Nov 2003 A1
20040075118 Heinemann et al. Apr 2004 A1
20040075143 Bae et al. Apr 2004 A1
20040084731 Matsuda et al. May 2004 A1
20040087090 Grudowski et al. May 2004 A1
20040126947 Sohn Jul 2004 A1
20040175893 Vatus et al. Sep 2004 A1
20040180488 Lee Sep 2004 A1
20050106824 Alberto et al. May 2005 A1
20050116282 Pattanayak et al. Jun 2005 A1
20050250289 Babcock et al. Nov 2005 A1
20050280075 Ema et al. Dec 2005 A1
20060022270 Boyd et al. Feb 2006 A1
20060049464 Rao Mar 2006 A1
20060068555 Zhu et al. Mar 2006 A1
20060068586 Pain Mar 2006 A1
20060071278 Takao Apr 2006 A1
20060154428 Dokumaci Jul 2006 A1
20060197158 Babcock et al. Sep 2006 A1
20060203581 Joshi et al. Sep 2006 A1
20060220114 Miyashita et al. Oct 2006 A1
20060223248 Venugopal et al. Oct 2006 A1
20070040222 Van Camp et al. Feb 2007 A1
20070117326 Tan et al. May 2007 A1
20070158790 Rao Jul 2007 A1
20070212861 Chidambarrao et al. Sep 2007 A1
20070238253 Tucker Oct 2007 A1
20070246708 Mori Oct 2007 A1
20080067589 Ito et al. Mar 2008 A1
20080108208 Arevalo et al. May 2008 A1
20080160736 Alshareef Jul 2008 A1
20080169493 Lee et al. Jul 2008 A1
20080169516 Chung Jul 2008 A1
20080197439 Goerlach et al. Aug 2008 A1
20080227250 Ranade et al. Sep 2008 A1
20080233694 Li Sep 2008 A1
20080237661 Ranade et al. Oct 2008 A1
20080258198 Bojarczuk et al. Oct 2008 A1
20080272409 Sonkusale et al. Nov 2008 A1
20090011537 Shimizu et al. Jan 2009 A1
20090057746 Sugll et al. Mar 2009 A1
20090108350 Cai et al. Apr 2009 A1
20090108373 Frank Apr 2009 A1
20090134468 Tsuchiya et al. May 2009 A1
20090224319 Kohli Sep 2009 A1
20090302388 Cai et al. Dec 2009 A1
20090309140 Khamankar et al. Dec 2009 A1
20090311837 Kapoor Dec 2009 A1
20090321849 Miyamura et al. Dec 2009 A1
20100012988 Yang et al. Jan 2010 A1
20100038724 Anderson et al. Feb 2010 A1
20100100856 Mittal Apr 2010 A1
20100148153 Hudait et al. Jun 2010 A1
20100149854 Vora Jun 2010 A1
20100187641 Zhu et al. Jul 2010 A1
20100207182 Paschal Aug 2010 A1
20100270600 Inukai et al. Oct 2010 A1
20110042751 Kim Feb 2011 A1
20110059588 Kang Mar 2011 A1
20110073961 Dennard et al. Mar 2011 A1
20110074498 Thompson et al. Mar 2011 A1
20110079860 Verhulst Apr 2011 A1
20110079861 Shifren et al. Apr 2011 A1
20110095811 Chi et al. Apr 2011 A1
20110147828 Murthy et al. Jun 2011 A1
20110169082 Zhu et al. Jul 2011 A1
20110175170 Wang et al. Jul 2011 A1
20110180880 Chudzik et al. Jul 2011 A1
20110186937 Scheiper Aug 2011 A1
20110193164 Zhu Aug 2011 A1
20110198699 Hung Aug 2011 A1
20110212590 Wu et al. Sep 2011 A1
20110230039 Mowry et al. Sep 2011 A1
20110242921 Tran et al. Oct 2011 A1
20110248352 Shifren Oct 2011 A1
20110284972 Lee Nov 2011 A1
20110294278 Eguchi et al. Dec 2011 A1
20110309447 Arghavani et al. Dec 2011 A1
20120007194 Sakakidani et al. Jan 2012 A1
20120021594 Gurtej et al. Jan 2012 A1
20120034745 Colombeau et al. Feb 2012 A1
20120056275 Cai et al. Mar 2012 A1
20120065920 Nagumo et al. Mar 2012 A1
20120108050 Chen et al. May 2012 A1
20120132998 Kwon et al. May 2012 A1
20120138953 Cai et al. Jun 2012 A1
20120146148 Iwamatsu Jun 2012 A1
20120146155 Hoentschel et al. Jun 2012 A1
20120167025 Gillespie et al. Jun 2012 A1
20120187491 Zhu et al. Jul 2012 A1
20120190177 Kim et al. Jul 2012 A1
20120223363 Kronholz et al. Sep 2012 A1
Foreign Referenced Citations (13)
Number Date Country
0274278 Jul 1988 EP
0312237 Apr 1989 EP
0531621 Mar 1993 EP
0683515 Nov 1995 EP
0889502 Jan 1999 EP
1450394 Aug 2004 EP
59193066 Nov 1984 JP
4186774 Jul 1992 JP
8153873 Jun 1996 JP
8288508 Nov 1996 JP
2004087671 Mar 2004 JP
794094 Jan 2008 KR
WO2011062788 May 2011 WO
Non-Patent Literature Citations (34)
Entry
US 7,011,991, 03/2006, Li (withdrawn)
Lavéant, P. “Incorporation, DiffUS CONlion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194, 2002.
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814, Apr. 1998.
Ohguro, T et al., “An 0.18-μm CMOS for Mixed Digital and Analog Aplications with Zero-Volt-Vth Expitaxial-Channel MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378-1383, Jul. 1999.
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, pp. 1582-1588, Aug. 2002.
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610, 2000.
Scholz, R et al., “Carbon-Induced Undersaturation of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202, Jan. 1998.
Scholz, RF et al., “The Contribution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394, Jan. 1999.
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050, May 1997.
Thompson, S et al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Tochnotogy Journal Q3 1998, pp. 1-19, 1998.
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High-Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116, 1996.
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467, Oct. 1998.
Yan, Ran-Hong et al., “Scaling the Si MOSFET: From Bult to SOI to Bulk”, IEEE Transactions on Electron Devices, vol. 39, No. 7, Jul. 1992.
Komaragiri, R. et al., “Depletion-Free Poly Gate Electrode Architecture for Sub 100 Nanometer CMOS Devices with High-K Gate Dielectrics”, IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, 2004.
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15μm n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24, 1995.
Chau, R et al., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4, 2001.
Ducroquet, F et al. “Fully Depleted Silicon-On-Insulator nMOSFETs with Tensile Strained High Carbon Content Si1-yCy Channel”, ECS 210th Meeting, Abstract 1033, 2006.
Ernst, T et al., “Nanoscaled MOSFET Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans, 2006, vol. 3, Issue 7, pp. 947-961, 2006
Goesele, U et al., Diffusion Engineering by Carbon in Silicon, Mat. Res. Soc. Symp. vol. 610, 2000.
Hokazono, A et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113, 2008.
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Sympsoium, pp. 29.1.1-29.1.4, 2009.
Holland, OW and Thomas, DK “A Method to improve Activation of Implanted Dopants in SiC”, Oak Ridge National Laboratory, Oak Ridge, TN, 2001.
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage MOSFET (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate CMOS”, IEDM 96, pp. 459-462, 1996.
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forcast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93, 2006.
Wong, H et al., “Nanoscale CMOS”, Proceedings of the IEEE, Vo. 87, No. 4, pp. 537-570, Apr. 1999.
Banerjee, et al. “Compensating Non-Optical Effects using Electrically-Driven Optical Proximity Correction”, Proc. of SPIE vol. 7275 7575OE, 2009.
Cheng, et al. “Extremely Thin SOI (ETSOI) CMOS with Record Low Variability for Low Power Systems-on-Chip Applications”, Electron Devices Meeting (IEDM), Dec. 2009.
Cheng, et al. “Fully Depleted Extremely Thin SOI Technology Fabricated by a Novel Integration Scheme Feturing Implant-Free, Zero-Silicon-Loss, and Faceted Raised Source/Drain”, Symposium on VLSI Technology Digest of Technical Papers, pp. 212-213, 2009.
Drennan, et al. “Implications of Proximity Effects for Analog Design”, Custom Integrated Circuits Conference, pp. 169-176, Sep. 2006.
Hook, et al. “Lateral Ion Implant Straggle and Mask Proximity Effect”, IEEE Transactions on Electron Devices, vol. 50, No. 9, pp. 1946-1951, Sep. 2003.
Hori, et al., “A 1,2 μm CMOS with a Step Channel Profile Formed by Ultra High Vacuum CVD and In-Situ Doped Ions”, Proceedsing of the International Electron Devices Meeting, New York, IEEE, US, pp. 909-911, Dec. 5, 1993.
Matshuashi, et al. “High-Performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET”, Symposium on VLSI Technology Digest of Technical Papers, pp. 36-37, 1996.
Shao, et al., “Boron Diffusion in Silicon: The Anomalies and Control by Point Defect Engineering”, Materials Science and Engineering R: Reports, vol. 42, No. 3-4, pp. 65-114, Nov. 1, 2003, Nov. 2012.
Sheu, et al. “Modeling the Well-Edge Proximity Effect in Highly Scaled MOSFETs”, IEEE Transactions on Electron Devices, vol. 53, No. 11, pp. 2792-2798, Nov. 2006.
Related Publications (1)
Number Date Country
20140035060 A1 Feb 2014 US
Provisional Applications (1)
Number Date Country
61357002 Jun 2010 US
Continuations (1)
Number Date Country
Parent 12960266 Dec 2010 US
Child 14046234 US