Semiconductor structure with multiple transistors having various threshold voltages

Abstract
A semiconductor structure includes first, second, and third transistor elements each having a first screening region concurrently formed therein. A second screening region is formed in the second and third transistor elements such that there is at least one characteristic of the screening region in the second transistor element that is different than the second screening region in the third transistor element. Different characteristics include doping concentration and depth of implant. In addition, a different characteristic may be achieved by concurrently implanting the second screening region in the second and third transistor element followed by implanting an additional dopant into the second screening region of the third transistor element.
Description
TECHNICAL FIELD

The following disclosure relates in general to semiconductor devices and processing and more particularly to a semiconductor structure with multiple transistor elements having various threshold voltages and method of fabrication thereof.


BACKGROUND

Many integrated circuit designs use a variety of cells that perform specific functions. Integrated circuits can include logic, memory, controller and other functional blocks. Semiconductor integrated circuits are fabricated in a semiconductor process, often using a CMOS process. Transistors are formed in a semiconductor substrate, and usually involve a sequence of fabrication steps that result in a gate with adjacent source and drain, the source and drain being formed in a channel. A key setting for a transistor is the threshold voltage, which in turn determines the voltage at which a transistor can be switched. Low threshold voltage devices are generally used for high speed circuits, though low threshold voltage devices tend to have higher leakage power. High threshold voltage devices tend to result in slower speeds but are usually implemented when power reduction is desired. It is generally known that variation in threshold voltage from the device specification is undesirable. Threshold voltage can be set by incorporating dopants into the transistor channel, either by way of direct channel implantation adjacent the gate oxide or by way of pocket or halo implants adjacent the source and drain. Such channel doping or halo implants also have the positive effect of reducing short channel effects especially as the gate length shrinks. Threshold voltage variation can increase with scaling, however, because of random dopant fluctuations in the implanted channel area. The variation problem worsens as critical dimensions shrink because of the greater impact of dopant fluctuations as the volume of the channel becomes smaller. As a result, circuit design has become more limited over time in that circuit designers must account for greater potential variation in the devices with smaller gate dimensions, thus making it impossible to design circuits with the technical freedom needed to build new and improved semiconductor chips. While CMOS technology has improved to allow continued scaling down of critical dimension, the associated and desired scaling down of voltage has not followed.





BRIEF DESCRIPTION OF THE DRAWINGS

For a more complete understanding of the present disclosure and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, wherein like reference numeral represent like parts, in which:



FIG. 1 shows an embodiment of a Deeply Depleted Channel (DDC) transistor 100 having an enhanced body coefficient, along with the ability to set threshold voltage Vt with enhanced precision;



FIGS. 2A-2C illustrate the dopant profiles for exemplary screening regions for three different transistor device types constructed on a common substrate;



FIGS. 3A-3C illustrate representative structures of the transistor device types corresponding to the dopant profiles of FIGS. 2A-2C;



FIGS. 4A-4C are graphs illustrating an alternative dopant profile for exemplary screening regions for three different transistor device types constructed on a common substrate;



FIGS. 5A-5C are graphs illustrating still another alternative scheme for setting Vt across three types of transistors;



FIGS. 6A-6B illustrates the impact of the doses and implant energy used to implant the second screening region dopant on the threshold voltage and the leakage current for a PMOS transistor;



FIG. 7 illustrates the combined effect of the implant energy and implant dose used to implant the second screening region dopant on the threshold voltage and leakage current for a PMOS transistor;



FIGS. 8A and 8B illustrate embodiments that advantageously use two different dopant species for the two screening region implants used to form dual screening regions;



FIG. 9 illustrates a semiconductor wafer supporting multiple die;



FIG. 10 illustrates one embodiment of a portion of a DDC transistor manufacturing process;



FIGS. 11A-11D illustrate a dopant profile and corresponding structure for a DDC transistor having dual antipunchthrough (APT) regions with single and dual screening regions respectively;



FIGS. 12A-12C illustrate threshold voltage as a function of gate length for DDC transistors having single and dual APT regions formed using different implant conditions;



FIG. 13 illustrates the body coefficient for PMOS LVt transistors having single and dual Sb APT regions;



FIG. 14 illustrates the body coefficient for NMOS LVt transistors having single and dual boron (B) APT regions;



FIGS. 15A-15B illustrate that dual APT regions have an effect on body coefficient for PMOS DDC transistor devices for given screening region conditions;



FIGS. 16A and 16B illustrate that dual APT regions can provide an enhanced body coefficient for NMOS transistor devices for given screening region conditions.





DETAILED DESCRIPTION

Transistors having improved threshold voltage variation and therefore enabling the scaling of supply voltage are disclosed. Embodiments of structures and fabrication methods allowing for reliable setting of threshold voltage, and with improved mobility, transconductance, drive current, strong body coefficient and reduced junction leakage are provided. More specifically, embodiments of doping profiles to result in different Vt targets for the different transistor device types without the use of pocket or halo implants or channel implantation adjacent the gate oxide are disclosed.



FIG. 1 shows an embodiment of a Deeply Depleted Channel (DDC) transistor 100 having an enhanced body coefficient, along with the ability to set threshold voltage Vt with enhanced precision. The DDC transistor 100 includes a gate electrode 102, source 104, drain 106, and a gate dielectric 128 positioned over a substantially undoped channel 110. Lightly doped source and drain extensions (SDE) 132, positioned respectively adjacent to source 104 and drain 106, extend toward each other, setting the transistor channel length.


The exemplary DDC transistor 100 is shown as an N-channel transistor having a source 104 and drain 106 made of N-type dopant material, formed upon a substrate such as a P-type doped silicon substrate providing a P-well 114 formed on a substrate 116. In addition, the N-channel DDC transistor in FIG. 1 includes a highly doped screening region 112 made of P-type dopant material, and a threshold voltage set region 111 made of P-type dopant material. Substantially undoped channel 110 is preferably formed using epitaxially-grown silicon, using a process recipe that is intended to result in undoped crystalline silicon. Although substantially undoped channel 110 may be referred to herein as the “undoped channel”, it is understood that a minimum or baseline level of dopants are present due to unavoidable introduction of some foreign material during the otherwise intrinsic epitaxial process. As a general matter, the “undoped channel” preferably has a dopant concentration of less than 5×1017 atoms/cm3 in some portions thereof. However, it is desirable that at least a portion of the channel underlying the gate remains undoped in the final transistor structure and certain process steps are chosen to achieve this configuration. An N-channel DDC transistor is shown in FIG. 1. Similarly, a P-channel DDC transistor can be achieved by interchanging N and P regions.


The features of DDC transistor 100 can result in various transistor device types. Such transistor device types include, but are not limited to: P-FETs, N-FETs, FETs tailored for digital or analog circuit applications, high-voltage FETs, high/normal/low frequency FETs, FETs optimized to work at distinct voltages or voltage ranges, low/high power FETs, and low, regular, or high threshold voltage transistors (i.e. low Vt, regular Vt, or high Vt—also referred to as LVt, RVt, or HVt, respectively), etc. Transistor device types are usually distinguished by electrical characteristics (e.g. threshold voltage, mobility, transconductance, linearity, noise, power), which in turn can lend themselves to be suitable for a particular application (e.g., signal processing or data storage). Since a complex integrated circuit such as, for instance, a system on a chip (SoC) may include many different circuit blocks having different transistor device types to achieve the desired circuit performance, it is desirable to use a transistor structure that can be readily fabricated to result in the various transistor device types.


A process for forming a DDC transistor may begin with forming the screening region 112. In certain embodiments, the screening region is formed by providing the substrate having the P-well 114 and implanting screening region dopant material thereon. Other methods may be used to form the screening region such as in-situ doped epitaxial silicon deposition, or epitaxial silicon deposition followed by vertically directed dopant implantation to result in a heavily doped region embedded a vertical distance downward from gate 102. Preferably, the screening region is positioned such that the top surface of the screening region is located approximately at a distance of Lg/1.5 to Lg/5 below the gate (where Lg is the gate length). The screening region is preferably formed before STI (shallow trench isolation) formation. Boron (B), Indium (I), or other P-type materials may be used for P-type NMOS screening region material, and arsenic (As), antimony (Sb) or phosphorous (P) and other N-type materials can be used for PMOS screening region material. The screening region 112, which is considered heavily doped, has a significant dopant concentration, which may range between about 5×1018 to 1×1020 dopant atoms/cm3. Generally, if the screening region 112 dopant level is on the higher end of the range, the screening region 112 can simultaneously function as the threshold voltage setting region.


Though exceptions may apply, as a general matter it is desirable to take measures to inhibit the upward migration of dopants from the screening region, and in any event, controlling the degree to which dopants may migrate upward as a mechanism for controlling the threshold voltage setting is desired. All process steps occurring after the placement of screening region dopants are preferably performed within a limited thermal budget. Moreover, for those dopants that tend to migrate or for flexibility in using a higher temperature in subsequent processes, a germanium (Ge), carbon (C), or other dopant migration resistant layer can be incorporated above the screening region to reduce upward migration of dopants. The dopant migration resistant layer can be formed by way of ion implantation, in-situ doped epitaxial growth, or other process.


An optional threshold voltage set region 111 is usually positioned above the screening region 112. The threshold voltage set region 111 can be either in contact with, adjacent to, incorporated within, or vertically offset from the screening region. In certain embodiments, the threshold voltage set region 111 is formed by ion implantation into the screening region 112, delta doping, controlled in-situ deposition, or by atomic layer deposition. In alternative embodiments, the threshold voltage set region 111 can be formed by way of controlled outdiffusion of dopant material from the screening region 112 into an undoped epitaxial layer using a predetermined thermal cycling recipe. Preferably, the threshold voltage set region 111 is formed before the undoped epitaxial layer is formed, though exceptions may apply. The threshold voltage is designed by targeting a dopant concentration and thickness of the threshold voltage set region 111 suitable to achieve the threshold voltage desired for the device. Note that if the screening region 112 concentration is sufficiently high, then the screening region 112 can function as the threshold voltage setting region and a separate threshold voltage setting region is not needed. Preferably, the threshold voltage set region 111 is fabricated to be a defined distance below gate dielectric 128, leaving a substantially undoped channel layer directly adjacent to the gate dielectric 128. The dopant concentration for the threshold voltage set region 111 depends on the desired threshold voltage for the device, taking into account the location of the threshold voltage set region 111 relative to the gate. Preferably, the threshold voltage set region 111 has a dopant concentration between about 1×1018 dopant atoms/cm3 and about 1×1019 dopant atoms per cm3. Alternatively, the threshold voltage set region 111 can be designed to have a dopant concentration that is approximately one third to one half of the concentration of dopants in the screening region 112.


The final layer of the channel is formed preferably by way of a blanket epitaxial silicon deposition, although selective epitaxial deposition may be used. The channel 110 is structured above the screening region 112 and threshold voltage set region 111, having a selected thickness tailored to the electrical specifications of the device. The thickness of the substantially undoped channel 110 usually ranges from approximately 5-25 nm with a thicker undoped channel 110 usually used for a lower Vt device. To achieve the desired undoped channel 110 thickness, a thermal cycle may be used to cause an outdiffusion of dopants from the screening region 112 into a portion of the epitaxial layer to result in a threshold voltage setting region 111 for a given undoped channel region 110 thickness. To control the degree of outdiffusion of dopants across a variety of device types, migration resistant layers of C, Ge, or the like can be utilized in selected devices. By achieving a thickness of the threshold voltage region by way of the ion implantation, in-situ epitaxial growth or other methods such as thermal cycle to effect a controlled diffusion a distance upward into the channel, different thicknesses of channel 110 may be achieved. Still further methods for establishing different thicknesses of channel 110 may include selective epitaxial growth or a selective etch back with or without a blanket epitaxial growth or other thickness reduction. Isolation structures are preferably formed after the channel 110 is formed, but isolation may also be formed beforehand, particularly if selective epitaxy is used to form the channel 110.


The transistor 100 is completed by forming a gate electrode 102 which may be a polysilicon gate or a metal gate stack, as well as SDE 132, spacers 130, and source 104 and drain 106 structures using conventional fabrication methods, with the caveat that the thermal budget be maintained within a selected constraint to avoid unwanted migration of dopants from the previously formed screening region 112 and threshold voltage setting region 111. Note that versions of transistor 100 can be implemented in any process node using a variety of transistor structural schemes including, in the more advanced nodes, using techniques to apply stress or strain in the channel. In conventional field effect transistors (FETs), the threshold voltage can be set by directly implanting a “threshold voltage implant” into the channel, raising the threshold voltage to an acceptable level that reduces transistor off-state leakage while still allowing speedy transistor switching. The threshold voltage implant generally results in dopants permeating through the entire channel region. Alternatively, the threshold voltage (Vt) in conventional FETs can also be set by a technique variously known as “halo” implants, high angle implants, or pocket implants. Such implants create a localized, graded dopant distribution near a transistor source and drain that extends a distance into the channel. Both halo implants and channel implants introduce dopants into the channel, resulting in random fluctuations of dopants in the channel which in turn can affect the actual threshold voltage for the device. Such conventional threshold voltage setting methods result in undesirable threshold voltage variability between transistors and within transistor arrays. Additionally, such conventional threshold voltage setting methods decrease mobility and channel transconductance for the device.


The screening region 112 creates a strong body coefficient amenable for receiving a body bias. A body tap 126 to the screening region 112 of the DDC transistor can be formed in order to provide further control of threshold voltage. The applied bias can be either reverse or forward biased, and can result in significant changes to threshold voltage. Bias can be static or dynamic, and can be applied to isolated transistors, or to groups of transistors that share a common well. Biasing can be static to set threshold voltage at a fixed set point or dynamic to adjust to changes in transistor operating conditions or requirements. Various suitable biasing techniques are disclosed in U.S. Pat. No. 8,273,617, the entirety of which is herein incorporated by reference.


Further examples of transistor structure and manufacture suitable for use in DDC transistors are disclosed in U.S. patent application Ser. No. 12/895,785 filed Sep. 30, 2010 titled “Advanced Transistors with Threshold Voltage Set Dopant Structures” by Lucian Shifren, et al., U.S. Pat. No. 8,421,162, U.S. patent application Ser. No. 12/971,884 filed on Dec. 17, 2010 titled “Low Power Semiconductor Transistor Structure and Method of Fabrication Thereof” by Lucian Shifren, et al., and U.S. patent application Ser. No. 12/971,955 filed on Dec. 17, 2010 titled “Transistor with Threshold Voltage Set Notch and Method of Fabrication Thereof” by Reza Arghavani, et al., the respective contents of which are incorporated by reference herein in their entirety.


Many integrated circuit designs benefit from the availability of a variety, or range of transistor device types that can be included in those integrated circuits. The availability of multiple transistor device types provides engineers with the resources to produce optimized circuit designs, as well as to produce circuit designs that might otherwise be unachievable if limited to a small number of transistor device types. As a practical matter, it is desirable that each integrated circuit on a wafer be able to incorporate all, or any subset of, the range of transistor device types available in an integrated circuit manufacturing process while achieving a limited variation in threshold voltage both locally and globally. It is also desirable to reduce the off-state leakage current and to achieve a limited variation in the off-state leakage current for the range of transistor device types available in the integrated circuit.


Various embodiments described below use a combination of ion implantations to form dual screening regions to achieve different transistor device types. Dual screening regions are advantageously used to provide different transistor device types in terms of threshold voltages while achieving a reduced off-state leakage current. In comparison, a transistor device that uses a single screening region may have a similar threshold voltage but may have higher junction leakage. With dual screens, each peak screening region dopant concentration may be reduced compared with the case of the dopant concentration of a single screening region for a given threshold voltage. Additionally, dual antipunchthrough (APT) regions are disclosed. Dual APT can provide a specified body coefficient using a lower peak concentration as compared to the peak concentration of a single implant APT region for a substantially similar body coefficient. Dual APT regions also provide the benefit of reducing the off-state leakage current of the different transistor device types, for instance if dual APT regions use a combination of a shallower and deeper APT region implants compared to a mid-energy single APT region implant. Transistors having shallower APT regions (due to lower energy APT region implants) can typically include a lower peak screening region dopant concentration to achieve a target threshold voltage. The advantages of dual APT regions can be obtained whether with single screening regions or dual screening regions.


Typically, the value of the threshold voltage is related to the concentration of dopants in the screening region. For various embodiments described below, the concentration of dopants is illustrated as a function of depth (also referred to as a dopant profile), where the zero depth position typically approximates the position of the gate oxide in the device.



FIGS. 2A-2C illustrate the dopant profiles for exemplary screening regions for three different transistor device types constructed on a common substrate, in which the doped regions are separated from the gate by a substantially undoped semiconductor layer (preferably intrinsic silicon having a dopant concentration of less than 5×1017 atoms/cm3). A range of Vt's can be achieved thereby, for instance a low threshold voltage (LVt) 205, a regular threshold voltage (RVt) 210, and a high threshold voltage (HVt) 215. The screening region dopant profile for the LVt transistor device type has a peak screening region dopant concentration of CF1 atoms/cm3, the screening region dopant profile for the RVt transistor device type has a peak screening region dopant concentration of CF2 atoms/cm3, and the screening region dopant profile for the HVt transistor has a peak screening region dopant concentration of CF3 atoms/cm3, where CF1<CF2<CF3. Such dopant concentrations can be achieved by selected doses for the implants. In one embodiment, Sb is implanted at a dose of 1×1013 atoms/cm2, 2×1013 atoms/cm2, and 3×1013 atoms/cm2 to form the screening regions of the LVt, RVt, and HVt transistor device types respectively. Implant energies in the range of 10-50 keV can be used to implant the screening region dopants, where the location of the peak is generally related to the implant energy used. The deeper the peak desired, the higher the selected energy for the implant. In the embodiment of FIGS. 2A-2C, the screening region dopants for the three transistor device types are implanted using the same implant energy but with different doses resulting in three screening region dopant profiles 205, 210, and 215 having three different peak concentrations, where the peak is located at approximately the same depth relative to the top surface of the substrate.



FIGS. 3A-3C illustrate representative structures of the transistor device types corresponding to the dopant profiles of FIGS. 2A-2C, showing in cross-section how screening regions may appear. In FIG. 3A, there may be a screening region 305 placed a defined depth below gate stack 308 with an undoped channel 307 in the space between screening region 305 and gate stack 308 and having a defined thickness selected to achieve threshold voltage, junction leakage, and other device characteristics. Preferably, the thickness of screening region 305 is about 3 nm to 10 nm in thickness or more, but in any event is preferably less thick than the gate length of gate stack 308. Source and drain pair 306 are on either side of screening region 305 such that screening region 305 extends laterally across and underneath undoped channel 307 and abutting the edges of source and drain pair 306. IN FIG. 3B, there may be screening region 310 that is more heavily doped than screening region 305, placed a similar depth below gate stack 313 as screening region 305 to its gate stack 308, with undoped channel 307 formed using a blanket epitaxial process so that it forms the same silicon thickness for the undoped channel for all of the devices having screening regions. Screening region 310 has a defined thickness selected to achieve threshold voltage, junction leakage, and other device characteristics. Preferably, the thickness of screening region 310 is about 3 nm to 15 nm in thickness and may be thicker than screening region 305, but in any event is preferably less thick than the gate length of gate stack 308. Source and drain pair 311 are on either side of screening region 310 such that screening region 310 extends laterally across and underneath undoped channel 307 and abutting the edges of source and drain pair 311. IN FIG. 3C, there may be screening region 315 that is more heavily doped than screening region 310, placed a similar depth below gate stack 318 as screening region 310 and 305 to their respective gate stacks 313 and 308, with undoped channel 307 forming the space between screening region 315 and gate stack 318. Screening region 315 has a defined thickness selected to achieve threshold voltage, junction leakage, and other device characteristics. Preferably, the thickness of screening region 315 is about 3 nm to 20 nm in thickness and may be thicker than screening regions 310 and 305, but in any event is preferably less thick than the gate length of gate stack 318. Source and drain pair 316 are on either side of screening region 315 such that screening region 315 extends laterally across and underneath undoped channel 307 and abutting the edges of source and drain pair 316. The transistors illustrated in FIGS. 3A-3C are to demonstrate exemplary schemes for placement of the respective screening regions 305, 310, and 315, though specific implementations may differ depending on a variety of desired characteristics for the devices in the context of the semiconductor fabrication node. For instance, source and drains may be elevated and fabricated using selective epitaxial growth using a silicon, silicon-germanium, or other material to form the source and drain or any other process that imparts a stress in the channel.


Note that it may be desired to locate the screening regions at different depths to achieve different threshold voltage and other characteristics for the device. Screening region depth can be controlled based on controlling the process settings, for instance higher ion implant energy to drive the ions deeper or lower ion implant energy to maintain a more shallow implanted region. After the screening region dopants are emplaced, the channel is completed by depositing an epitaxial silicon layer on the substrate over the screening region dopants. It follows that, if the screening region dopants are at the approximately same depth below the top surface of the substrate, then to achieve differing Vt's, different implant doses are used to modulate the Vt value. A higher implant dose generally results in a higher concentration of dopants. A lower implant dose generally results in a lesser concentration of dopants. If the screening region dopant implant process uses differing energies, then the Vt values will be modulated based upon the different depths of the screens or, put another way, based upon the different resulting relative thicknesses of the undoped epitaxial layer.



FIGS. 4A-4C are graphs illustrating an alternative dopant profile for exemplary screening regions for three different transistor device types constructed on a common substrate. Note that the profiles may represent the distribution of dopant material prior to anneal. Post anneal, the profiles may be less distinct. Preferably, the profiles are achieved by way of separate ion implant steps. The common substrate is doped to create different transistor device types, e.g. a low threshold voltage (LVt), a regular threshold voltage (RVt), and a high threshold voltage (HVt) transistor corresponding to FIGS. 4A-4C respectively. Preferably, the screening region dopant profiles for the three transistor device types illustrated in FIGS. 4A-4C are obtained by performing multiple screening region dopant implants. In one embodiment, a first screening region dopant can be implanted for all three devices as shown herein as dopant profiles 405, 410, and 415 for the LVt, RVt, and HVt transistor device types respectively. An additional implant step is performed for the RVt transistor device type to form the second screening region dopant profile 420, such that the combination of the dopant profiles 410 and 420 sets the threshold voltage of the RVt transistor device type. An additional implant step is performed for the HVt transistor device type to form the second screening region dopant profile 425, where the combination of the dopant profiles 415 and 425 sets the threshold voltage of the HVt transistor device type. The advantage of the scheme illustrated in FIGS. 4A-4C is that a reduced dose implant can be used to achieve a peak concentration in the low end of the screening region range and, if desired, the same dose and energy for the screening region for the LVt device can be used for the RVt and HVt devices. Instead of relying upon a higher peak concentration for the screening region for the RVt and HVt devices, a reduced peak concentration is used, preferably at approximately the same depth within the substrate for each of the devices, and the Vt is achieved by implanting a secondary dopant profile at a location adjacent to but closer to the gate using a dose selected to result in the peak concentration appropriate to set the Vt for the device. As illustrated in FIGS. 4A-4C, the LVt device does not contain a secondary implant and uses the initial screening region implant at peak concentration CF1, the RVt device includes the screening region implant at peak concentration CF1 and contains a secondary implant at concentration CS1, and the HVt device includes the screening region implant at peak concentration CF1 and contains a secondary implant at concentration CS2 which is higher than CS1. All peak concentrations of dopants and relative depths within the substrate are determined as part of the device design to achieve the desired Vt while comprehending other design constraints including leakage, drive-current, and other factors understood by those skilled in the art.



FIGS. 5A-5C are graphs illustrating still another alternative scheme for setting Vt across three types of transistors. The common substrate is doped to create dopant profiles for different transistor device types, e.g. a low threshold voltage (LVt), a regular threshold voltage (RVt), and a high threshold voltage (HVt) transistor. Preferably, a first screening region dopant is implanted for all three transistor device types resulting in first screening region dopant profiles 505, 510, and 515 for the LVt, RVt, and HVt transistor device types respectively. First screening region dopant profiles 505, 510, and 515 are preferably formed to have approximately the same peak concentration, designated as CF, at approximately the same depth within the substrate. An additional screening region dopant implant step is performed for the RVt transistor device type to form the second screening region dopant profile 520 at a peak concentration of CS, where the combination of the dopant profiles 510 and 520 sets the threshold voltage of the RVt transistor device type. An additional screening region dopant implant step is performed for the HVt transistor device type to form the second screening region dopant profile 525, using a reduced energy with the same or approximately the same dose so that second screening region dopant profile 525 is at peak concentration of approximately CS but offset from the location of screening region dopant profile 515 to be located closer to the gate. Dopant profiles 515 and 525 may be separate from each other but connected by a lesser amount of dopant concentration as shown, namely a valley between the two peaks, or the dopant profiles 515 and 525 may be isolated from each other. In effect, the undoped channel for the device at FIG. 5C is thinner than for FIG. 5B and FIG. 5A. The combination of the dopant profiles 515 and 525 sets the threshold voltage of the HVt transistor device type. The second screening region implant for the RVt and HVt transistor device types can be performed using the same dopant species at a substantially similar dose but using different implant energies, such that the peak dopant concentrations of the second dopant profile is approximately the same, but the peak is positioned at a different depth for the two transistor device types. In alternative embodiments, a combination of different dopant species, different dopant doses, and different implant energies can be used to implant the second dopant to form the screening regions of the different transistor device types. All peak concentrations of dopants and relative depths within the substrate are determined as part of the device design to achieve the desired Vt while comprehending other design constraints including leakage, drive-current, and other factors understood by those skilled in the art.



FIG. 6A illustrates the impact 600 of the doses used to implant the second screening region dopant on the threshold voltage and the leakage current for a PMOS transistor. The two graphs 605 and 610 in FIG. 6A are obtained from TCAD simulations performed for a PMOS DDC transistor having a single implant screening region and dual screening regions respectively. Graph 605 illustrates the leakage current Isub as a function of threshold voltage for a PMOS transistor having only one screening region implant consisting of Sb implanted at 20 keV using doses in the range of 1×1013 to 2×1013 atoms/cm2. Point 605A of graph 605 corresponds to a dose of 1×1013 atoms/cm2 and point 605B of the graph 605 corresponds to a dose of 2×1013 atoms/cm2. Graph 610 illustrates the leakage current Isub as a function of threshold voltage for a PMOS transistor having dual screening regions, where the first screening region implant is Sb implanted at 20 keV using doses in the range of 1×1013 to 2×1013 atoms/cm2 and the second screening region implant is Sb implanted at 10 keV using doses in the range of 2×1012 to 5×1012 atoms/cm2. Point 610A corresponds to a dose of 2×1012 atoms/cm2, point 610B corresponds to a dose of 3×1012 atoms/cm2, point 610C corresponds to a dose of 4×1012 atoms/cm2, and point 610D corresponds to a dose 5×1012 atoms/cm2.



FIG. 6B illustrates the impact 601 of the implant energy used to implant the second screening region dopant on the threshold voltage and the leakage current for a PMOS transistor. The three graphs 615, 620, and 625 in FIG. 6B are obtained from TCAD simulations performed for a PMOS DDC transistor having a single screening region and dual screening regions respectively, where different implant energies are used to implant the second screening region dopant. Graph 615 illustrates the leakage current Isub as a function of threshold voltage for a PMOS transistor having only one screening region implant consisting of Sb implanted at 40 keV using doses in the range of 1×1013 to 2×1013 atoms/cm2. Point 615A of graph 615 corresponds to a dose of 1×1013 atoms/cm2 and point 615B of graph 615 corresponds to a dose of 2×1013 atoms/cm2. Graph 620 illustrates the leakage current Isub as a function of threshold voltage for a PMOS transistor having dual screening regions, where the first screening region implant is Sb implanted at 40 keV using doses in the range of 1×1013 to 2×1013 atoms/cm2 and the second screening region implant is Sb implanted at 20 keV using doses in the range of 0.5×1013 to 1×1013 atoms/cm2. Point 620A corresponds to a dose of 0.5×1013 atoms/cm2, point 620B corresponds to a dose of 0.6×1013 atoms/cm2, and point 620C corresponds to a dose of 1×1013 atoms/cm2. Graph 625 illustrates the leakage current Isub as a function of threshold voltage for a PMOS transistor having dual screening regions, where the first screening region implant is Sb implanted at 40 keV using doses in the range of 1×1013 to 2×1013 atoms/cm2 and the second screening region implant is Sb implanted at 10 keV using doses in the range of 0.5×1013 to 0.6×1013 atoms/cm2. Point 625A corresponds to a dose of 0.5×1013 atoms/cm2 and point 625B corresponds to a dose of 0.6×1013 atoms/cm2.



FIG. 7 illustrates the combined effect 700 of the implant energy and implant dose used to implant the second screening region dopant on the threshold voltage and leakage current for a PMOS transistor. FIG. 7 includes an overlay of graphs 605 and 610 (FIG. 6A) and graphs 615, 620, and 625 (FIG. 6B). It is noted that a 200 mV range of threshold voltage, as indicated by the interval 705, can be obtained at substantially the same leakage by appropriate selection of the first screening region implant and the second screening region implant conditions. It is also noted that the graphs 610 and 625 have substantially similar slope and that both graphs show substantially similar threshold voltage and leakage current for the same second screening region implant dose. Therefore, the implant conditions of the second screening region dopant can have a dominant effect on setting the threshold voltage.


The dual screening regions described above can be formed by implanting either the same dopant species for the first screening region implant or a different dopant species can be used for the second screening region implant, wherein the dopant species are of the same polarity. FIGS. 8A and 8B illustrate embodiments that advantageously use two different dopant species for the two screening region implants used to form the dual screening regions. FIG. 8A illustrates an embodiment, where the second dopant species is a heavier molecule than the first dopant species and, therefore, the second dopant species can be implanted using a higher implant energy to form a shallow second implant for the screening region as compared to the implant energy that would be required if the first dopant species were used to form the shallow second implant. In FIG. 8A, dual screening regions are formed for an NMOS transistor by implanting B to form the first implant and using BF2 to form the second implant. Since BF2 is approximately five times heavier than B, the implant energy used for the BF2 implant can be five times the implant energy that would be used to implant B. This is advantageous because the high energy implant can be more precisely controlled. FIG. 8B illustrates an embodiment where the first and second dopant species diffuse at different rates during dopant activation anneal. In FIG. 8B, dual screening regions are formed for a PMOS transistor by implanting Sb to form the first implant and using As to form the second implant, where the Sb and As implant energies and doses are selected to form the Sb and As doped implants at approximately the same depth. However, during subsequent thermal processing such as activation anneal, As will diffuse more than Sb and, therefore, forms a shallow doped region as illustrated in FIG. 8B. The use of a second dopant species that diffuses more also permits higher dopant energies to be used to implant the second dopant species.



FIG. 9 illustrates a semiconductor wafer 942 supporting multiple die such as previously described. In accordance with the present disclosure, each die can support multiple blocks of circuitry, each block having one or more transistor types. Such an arrangement enables the creation of complex system on a chip (SoC), integrated circuits, or similar die that optionally include FETs tailored for analog or digital circuit applications, along with improved transistors such as DDC transistors. For example, four useful blocks in a single die are illustrated as follows. Block 944 outlines a collection of deeply depleted channel (DDC) transistors having low threshold voltage, block 945 outlines a collection of DDC transistors having regular threshold voltage, block 946 outlines a collection of DDC transistors having high threshold voltage, and block 947 outlines a collection of DDC transistors tailored for a static random access memory cell. As will be appreciated, these transistor types are representative and not intended to limit the transistor device types that can be usefully formed on a die or wafer. Wafer 900 includes a substrate 902 (typically silicon) that can be implanted with optional APT regions and required single or dual screening regions 904 and an epitaxial blanket layer 906 formed after implantation of dopants in screening region 904. Wafer 900 can also include an optional threshold voltage set region (not shown in FIG. 9) positioned between the screening region 904 and the epitaxial blanket layer 906.



FIG. 10 illustrates one embodiment of a portion of a DDC transistor manufacturing process 1000. A semiconductor wafer is masked at step 1002 with a “zero layer” alignment mask to define dopant implantable well regions. To illustrate one embodiment, it is shown in FIG. 10 to create PMOS dopant structures followed by NMOS dopant structures, but in implementation the order can be reversed. In FIG. 10, a deep N-well can be optionally formed at step 1004 in combination with or alternative to a conventional N-well. A first screening region dopant is implanted at step 1006 to form a first highly doped screening region for the LVt, RVt, and HVt PMOS transistor device types. Typically, implant conditions for the first screening region dopant are selected to provide the target threshold voltage for the PMOS LVt transistor device type. At step 1008, the PMOS LVt and HVt devices are masked and an RVt additional screening region dopant is implanted to form dual screening regions for the RVt PMOS transistors. The implant conditions for the additional RVt screening region dopant are selected such that the combination of the first screening region dopant and the additional RVt screening region dopant provide the target threshold voltage for the PMOS RVt device. At step 1010, the PMOS LVt and RVt devices are masked and an additional HVt screening region dopant is implanted to form dual screening regions for the HVt PMOS transistors. The implant conditions for the additional HVt screening region dopant are selected such that the combination of the first screening region dopant and the additional HVt screening region dopant provide the target threshold voltage for the PMOS HVt device. In alternative embodiments, the additional RVt screening region dopant is implanted as part of the dual screening regions for both the PMOS RVt and HVt devices and both LVt and RVt devices are then masked to allow for a still further HVt screening region implant for the HVt devices only. For this embodiment, the implant condition for the first screening region dopant is selected to provide the target threshold voltage for the PMOS LVt devices, the implant conditions of the additional RVt and the additional HVt dopants are selected such the combination of the first screening region dopant and the additional RVt dopant provides the target threshold voltage for the RVt devices, and the combination of all three screening region dopants (i.e., the first screening region dopant, the additional RVt dopant, and the additional HVt dopant) provides the target threshold voltage for the HVt devices. Other well implants such as the APT region implant can be formed in the N-well before or after implanting the screening region dopants in steps 1006, 1008, and 1010.


After masking the N-well, the P-well is implanted at step 1012. A first screening region dopant is implanted at step 1014 to form a first highly doped screening region for the LVt, RVt, and HVt NMOS transistor device types. Typically, implant conditions for the first screening region dopant are selected to provide the target threshold voltage for the NMOS LVt transistor device type. At step 1016, the NMOS LVt and HVt devices are masked and an additional RVt screening region dopant is implanted to form dual screening regions for the RVt NMOS transistors. The implant conditions for the additional RVt screening region dopant are selected such that the combination of the first screening region dopant and the additional RVt screening region dopant provide the target threshold voltage for the NMOS RVt device. At step 1018, the NMOS LVt and RVt devices are masked and an additional HVt screening region dopant is implanted to form dual screening regions for the HVt NMOS transistors. The implant conditions for the additional HVt screening region dopant are selected such that the combination of the first screening region dopant and the additional HVt screening region provide the target threshold voltage for the NMOS HVt device. In alternative embodiments, the additional RVt screening region dopant is implanted as part of the dual screening regions for both the NMOS RVt and HVt devices and the NMOS LVt and RVt devices are then masked to allow for a still further screening region implant for the NMOS HVt devices only. For this embodiment, the implant condition for the first screening region dopant is selected to provide the target threshold voltage for the NMOS LVt devices, the implant conditions of the additional RVt and the additional HVt dopants are selected such the combination of the first screening region dopant and the additional RVt dopant provides the target threshold voltage for the NMOS RVt devices, and the combination of all three screening region dopants (i.e., the first screening region dopant, the additional RVt dopant, and the additional HVt dopant) provides the target threshold voltage for the NMOS HVt devices. Other well implants such as the APT region implant can be formed in the P-well before or after implanting the screening region dopants in steps 1014, 1016, and 1018.


Next, at step 1020, a capping silicon epitaxial layer is deposited/grown across the entire substrate using a process that does not include added dopant species so that the resulting channel is substantially undoped and is of a resulting thickness tailored to achieve the multitude of threshold voltages. Typically the epitaxial layer is 100% intrinsic silicon, but silicon germanium or other non-silicon in-situ deposited atoms can also be added to the epitaxial layer either across the substrate or a preselected device location using masks, though preferably the resulting material from the epitaxial growth process is intrinsic in terms of dopant-based polarity. For further adjustment of Vt, a thermal cycling can be used to cause a controlled outdiffusion of some of the screening region dopants. Following epitaxial growth, at step 1022, shallow trench isolation (STI) structures are formed. In steps 1024 and 1026, gate structures, spacers, contacts, stress implants, tensile films, dielectric coatings, and the like are then formed to establish structures for operable transistors. The processes used to form the various structures are generally conventional, though within a defined thermal cycle and with appropriate adjustments to conventional process recipes to comprehend reduced temperatures from otherwise high-temperature steps. In some devices, optionally, additional channel doping can be done using halo implants and/or traditional channel implants to render such devices conventional as opposed to DDC. It shall further be noted that the exemplary dopant profiles can be achieved using alternative processes. Although the process sequence of doping the screening region followed by forming the epitaxial undoped layer may be preferred, other processes can be used, for instance providing an undoped semiconductor region and then performing ion implantation at selected higher energies to drive the dopants down a depth through the undoped semiconductor region to achieve the exemplary dopant profiles. A further alternative process is to replace ion implantation with in-situ doped epitaxial growth to achieve the doped screening regions followed by deposition of semiconductor material to create the desired dopant profiles having the screening regions embedded a depth below the gate.



FIG. 11A illustrates a dopant profile 1100 for a DDC transistor having dual APT regions and a single screening region. FIG. 11B illustrates a structure 1120 with the dual APT regions 1105 and 1110 and the single screening region 1115 underlying an undoped channel 1102. The dopant profile 1100 includes two APT region implants having dopant profiles that form the dual APT regions 1105 and 1110. The dopant profile 1100 also includes a single screening region implant having a dopant profile that form the single screening region 1115. Typically, the peak dopant concentration of the APT region implant positioned closest to the screening region, i.e. the dopant profile for APT region 1105, is greater than the peak dopant concentration of the APT region implant for APT region 1110 that is positioned deeper in the substrate. However, in alternative embodiments, the peak dopant concentration of the dopant profiles for APT region 1105 and APT region 1110 can be approximately the same. Though shown as adjacent and in contact with one another, APT regions 1105 and 1110 and screening region 1115 may be spaced apart from each other as desired.



FIG. 11C illustrates a dopant profile 1150 for a DDC transistor having dual APT regions and dual screening regions. FIG. 11D illustrates a structure 1180 with the dual APT regions 1155 and 1160 and the dual screening regions 1165 and 1170 underlying an undoped channel 1182. The dopant profile 1150 includes two APT region implants having dopant profiles that form the dual APT regions 1155 and 1160. The dopant profile 1150 also includes two screening region implants having a dopant profile that form dual screening regions 1165 and 1170. The dual APT regions 1155 and 1160 can be combined with a single or dual (or triple or more) screening regions, in combinations of varying peak dopant concentrations, in embodiments that are not shown herein. Proper selection of the dual APT region dose and energy condition allows the APT region to perform its primary function of preventing deep punchthrough between the source and drain regions (which would pinch-off the screening region isolating the screening region from the body bias voltage) while minimizing the junction leakage that can be caused by excessive screening region and APT region implant dose. A single APT region implant controls the pinch-off performance through increased dose at a penalty of higher junction leakage from the increased APT region peak concentration. A wider APT region made from two separately optimized implants can be even more effective at protecting against pinch-off than a single APT region implant and allows the peak concentration for each implant to be lower than the equivalent single APT region implant resulting in overall lower leakage. Though shown as adjacent and in contact with one another, APT regions 1155 and 1160 and screening regions 1165 and 1170 may be spaced apart from each other as desired.


For the dual APT region dopant profiles illustrated in FIGS. 11A and 11C, the deep APT region implant corresponding to the dopant profiles of APT regions 1110 and 1160 can assist the respective screening regions by controlling the depletion region generated by the operational voltage and, therefore, preventing the respective screening regions from being pinched off by the depletion region. Preventing the pinch off of the screening regions allows the screening regions to be biased by a body bias voltage applied to the transistor body. Typically the peak concentration of the deep APT region implant (i.e. dopant profiles of APT regions 1110 and 1160) is selected based on a predetermined range of body bias voltages to be applied to the DDC transistor such that the selected peak concentration prevents screening region pinch off for the predetermined range of body bias voltages. Typically the peak dopant concentration of the shallow APT region implant (i.e. dopant profiles for APT regions 1105 and 1155) is selected to be lower than the peak screening region dopant concentration.


One of the advantages of using dual APT regions is that the lower peak dopant concentration in the dual APT region structure as compared to that of a single APT region helps to reduce junction leakage that may otherwise be present in a DDC device. Further, when dual APT regions are used, the device can more readily be designed with a reduced peak concentration screening region, either as a single screening region or dual screening regions, which provides advantages of reduced junction leakage. Having two implanted APT regions more readily allows for a continuum of doping extending from the screening region down through the device to the well. In contrast, a single implanted APT region generally has a tighter Gaussian distribution. The tighter Gaussian distribution makes for a potential pocket of very low-doped area between the screening region and the single APT region. Such a pocket that is very low in dopants essentially separates the screening region from the APT region, rendering the APT region less effective. The dual APT regions can also be combined with diffusion mitigation techniques, for instance Ge preamorphization implants (PAI) with carbon implants. With diffusion mitigation techniques, a selected target APT region dopant profile can be achieved using lower implant doses to form wider implanted region dopant profiles as a starting point, because the implanted APT region dopants are less apt to diffuse and spread during subsequent thermal steps.



FIGS. 12A-12C illustrate threshold voltage as a function of gate length for DDC transistors having single and dual APT regions formed using different implant conditions. FIG. 12A illustrates the changes in threshold voltage as a function of drawn channel length for a transistor having a drawn width of 1 μm at a body bias voltage of 0.3 volts. FIG. 12B illustrates the difference between the threshold voltage at a body bias voltage of 0 volts and at a body bias voltage of 0.9 volts as a function of drawn channel length for a DDC transistor having a drawn channel width of 1 μm. FIG. 12C is an expanded version of a portion of the curves illustrated in FIG. 12B. FIGS. 12B and 12C provide a measure of the changes in the body coefficient as a function of the drawn channel length. The threshold voltages corresponding to four different APT region implant conditions are illustrated in these figures—(i) single implant APT region formed by implanting Sb at 160 keV using a dose of 0.9×1013 atoms/cm2, (ii) single implant APT region formed by implanting Sb at 130 keV using a dose of 0.9×1013 atoms/cm2, (iii) single implant APT region formed by implanting Sb at 130 keV using a dose of 1.2×1013 atoms/cm2, and (iv) dual APT regions formed by a first implant of Sb at 130 keV using a dose of 0.6×1013 atoms/cm2 and a second implant of Sb at 80 keV using a dose of 1.2×1013 atoms/cm2. It is noted from FIGS. 12A-12C that the lowest Vt roll-off is obtained for the dual APT regions. FIGS. 12A-12C show that dual APT regions can result in lower threshold voltage roll-off (Vt roll off).



FIG. 13 illustrates the body coefficient for PMOS LVt transistors having single and dual Sb APT regions. It is noted that the PMOS transistors having dual APT regions have a higher body coefficient at a body bias voltage of −0.3 V (labeled Body Factor) as compared to the PMOS transistors having a single implant APT region.



FIG. 14 illustrates the body coefficient for NMOS LVt transistors having single and dual boron (B) APT regions. It is noted that the NMOS transistors having dual APT regions have a higher body coefficient at a body bias voltage of 0.3 V (labeled Body Factor) as compared to the NMOS transistors having a single implant APT region.



FIGS. 15A and 15B illustrate that dual APT regions have an effect on body coefficient for NMOS DDC transistor devices for given screening region conditions.



FIGS. 15A and 15B illustrate the median threshold voltage for NMOS transistors of various widths as a function of four different applied body bias voltages—0 volts, 0.3 volts, 0.6 volts, and 0.9 volts. It is noted that for all channel widths, the NMOS transistors having dual APT regions have a higher body coefficient compared to NMOS transistors with single APT regions as indicated by the threshold voltage response for varying applied body bias voltage. In addition, the threshold voltage of dual APT NMOS transistors varies less in response to the applied body bias voltage for smaller channel widths, indicating an improved narrow-Z effect in the DDC devices having the dual APT regions.



FIGS. 16A and 16B illustrate that dual APT regions can provide an enhanced body coefficient for PMOS transistor devices for given screening region conditions. FIGS. 16A and 16B illustrate the median threshold voltage for PMOS transistors of various widths as a function of four different applied body bias voltages—0 volts, 0.3 volts, 0.6 volts, and 0.9 volts. It is noted that for all channel widths the PMOS transistors having dual APT regions have a higher body coefficient compared to PMOS transistors with single APT regions as indicated by the threshold voltage response for varying applied body bias voltage. In addition, the threshold voltage of dual APT PMOS transistors varies less in response to the applied body bias voltage for smaller channel widths, indicating an improved narrow-Z effect in the DDC devices having the dual APT regions.


In one embodiment, a target LVt transistor device type having a target threshold voltage of 0.38 V can be achieved using screening region implant dose of 5×1012 atoms/cm2 for a transistor using dual APT regions (where the dual APT regions are formed with a first Sb implant at 80 keV using a dose of 1.2×1013 atoms/cm2 and a second Sb implant at 130 keV using a dose of 1.2×1013 atoms/cm2) as compared to a higher screening region dose of 8×1012 atoms/cm2 for a transistor using a single APT region (where the single APT region is formed with an Sb implant at 130 keV using a dose of 1.2×1013 atoms/cm2). In addition, the body factor of the dual APT LVt transistor is higher compared to that of the single APT LVt transistor, 85 as compared to 60 respectively, where the body factor is measured at a body bias voltage of −0.3 V. In an alternative embodiment, a target SVt transistor device type having a target threshold voltage of 0.46 V can be achieved using a screening region implant dose of 1.2×1013 atoms/cm2 for a transistor using dual APT regions (where the dual APT regions are formed with a first Sb implant at 80 keV using a dose of 1.2×1013 atoms/cm2 and a second Sb implant at 130 keV using a dose of 1.2×1013 atoms/cm2) as compared to a higher screening region dose of 1.4×1013 atoms/cm2 for a transistor using a single APT region (where the single APT region is formed with an Sb implant at 130 keV using a dose of 1.2×1013 atoms/cm2). The body factor of the dual APT SVt transistor is also higher compared to that of the single APT SVt transistor, 96 as compared to 85 respectively, where the body factor is measured at a body bias voltage of −0.3 V.


Transistors created according to the foregoing embodiments, structures, and processes can be formed on the die alone or in combination with other transistor types. Transistors formed according to the disclosed structures and processes can have a reduced mismatch arising from scattered or random dopant variations as compared to conventional MOS analog or digital transistors. This is particularly important for transistor circuits that rely on closely matched transistors for optimal operation, including differential matching circuits, analog amplifying circuits, and many digital circuits in widespread use such as SRAM cells. Variation can be even further reduced by adoption of structures such as a screening region, an undoped channel, or a threshold voltage set region as described herein to further effectively increase headroom which the devices have to operate. This allows high-bandwidth electronic devices with improved sensitivity and performance.


In summary, a dual-screen DDC transistor is disclosed. There is provided a transistor device having a gate, a doped source and drain region on either side of the gate and embedded in the substrate, for which the substrate comprises a substantially undoped epitaxial layer (prior to the formation of the source and drain regions), a first heavily doped region doped with dopants of opposite polarity as the source and drain dopants, the first heavily doped region recessed a vertical distance down from the bottom of the gate at a depth of 1/1.5 to ⅕ times the gate length, and a second heavily doped region adjacent to the first heavily doped region, wherein the second heavily doped region is also of the opposite polarity as the source and drain dopants, the second heavily doped region which may have a higher or lower concentration of dopants than the first heavily doped region and may abut the first heavily doped region. In addition, there may be one or more separately doped regions also of the opposite polarity as the source and drain dopants to serve as anti-punch through. Variations in the location, number of regions, and dopant concentrations allow for a substrate to include multiple transistors with differing threshold voltages.


Although the present disclosure has been described in detail with reference to a particular embodiment, it should be understood that various other changes, substitutions, and alterations may be made hereto without departing from the spirit and scope of the structures and methods disclosed herein. Numerous other changes, substitutions, variations, alterations, and modifications may be ascertained by those skilled in the art and it is intended that the present disclosure encompass all such changes, substitutions, variations, alterations, and modifications as falling within the spirit and scope of the structures and methods disclosed herein. Moreover, the present disclosure is not intended to be limited in any way by any statement in the specification.

Claims
  • 1. A method of fabricating a semiconductor structure, comprising: concurrently implanting in a substrate a first screening region for each of first, second, and third transistor elements;implanting in the substrate a second screening region above the first screening region for the second transistor element;implanting in the substrate a third screening region above the first screening region for the third transistor element;forming a substantially undoped epitaxial layer covering the first, second, and third screening regions to form a channel layer for each of first, second, and third transistor elements,wherein the first screening region is in contact with at least one of the second and third screening regions.
  • 2. The method of claim 1, wherein the first screening region is separated from at least one of the second and third screening regions.
  • 3. The method of claim 1, wherein a dopant concentration of the first screening region is higher than each of a dopant concentration of the second screening region and a dopant concentration of the third screening region different from the dopant concentration of the second screening region.
  • 4. The method of claim 1, wherein the third screening region is implanted at a lesser depth than the second screening region.
  • 5. The method of claim 1, wherein: the channel layer on the substrate for each of the first, second, and third transistor elements is formed by a blanket epitaxial growth.
  • 6. The method of claim 1, further comprising: concurrently implanting a first antipunchthrough region for each of the first, second, and third transistor elements;concurrently implanting a second antipunchthrough region above the first antipunchthrough region for each of the first, second, and third transistor elements;wherein the first and second antipunchthrough regions underlie the respective screening regions of the first, second, and third transistor elements.
  • 7. The method of claim 6, wherein the first antipunchthrough region is formed in contact with the second antipunchthrough region.
  • 8. The method of claim 6, wherein the second antipunchthrough region is formed in contact with the first screening region.
  • 9. The method of claim 1, wherein each of the transistor elements has a gate with a source and a drain on either side of the gate, each of the first and second screening regions extends laterally across the length of the channel and abuts the source and drain.
  • 10. The method of claim 9, wherein each of the first and second screening regions is located to be below the surface of the substrate at a distance of at least less than 1/1.5 times a length of the gate and above the bottom of the source and drain to which each of the screening regions abuts.
CROSS REFERENCE TO RELATED APPLICATIONS

This application is a divisional of U.S. application Ser. No. 13/926,555 filed Jun. 25, 2013 which claims the benefit of U.S. Provisional Application No. 61/665,113 filed Jun. 27, 2012.

US Referenced Citations (515)
Number Name Date Kind
3958266 Athanas May 1976 A
4000504 Berger Dec 1976 A
4021835 Etoh May 1977 A
4242691 Kotani Dec 1980 A
4276095 Beilstein, Jr. Jun 1981 A
4315781 Henderson Feb 1982 A
4518926 Swanson May 1985 A
4559091 Allen Dec 1985 A
4578128 Mundt Mar 1986 A
4617066 Vasudev Oct 1986 A
4662061 Malhi May 1987 A
4761384 Neppl Aug 1988 A
4780748 Cunningham Oct 1988 A
4819043 Yazawa Apr 1989 A
4885477 Bird Dec 1989 A
4908681 Nishida Mar 1990 A
4945254 Robbins Jul 1990 A
4956311 Liou Sep 1990 A
5034337 Mosher Jul 1991 A
5144378 Hikosaka Sep 1992 A
5156989 Williams Oct 1992 A
5156990 Mitchell Oct 1992 A
5166765 Lee Nov 1992 A
5208473 Komori May 1993 A
5294821 Iwamatsu Mar 1994 A
5298763 Shen Mar 1994 A
5369288 Usuki Nov 1994 A
5373186 Schubert Dec 1994 A
5384476 Nishizawa Jan 1995 A
5426328 Yilmaz Jun 1995 A
5444008 Han Aug 1995 A
5552332 Tseng Sep 1996 A
5559368 Hu Sep 1996 A
5608253 Liu Mar 1997 A
5622880 Burr Apr 1997 A
5624863 Helm Apr 1997 A
5625568 Edwards Apr 1997 A
5641980 Yamaguchi Jun 1997 A
5663583 Matloubian Sep 1997 A
5712501 Davies Jan 1998 A
5719422 Burr Feb 1998 A
5726488 Watanabe Mar 1998 A
5726562 Mizuno Mar 1998 A
5731626 Eaglesham Mar 1998 A
5736419 Naem Apr 1998 A
5753555 Hada May 1998 A
5754826 Gamal May 1998 A
5756365 Kakumu May 1998 A
5763921 Okumura Jun 1998 A
5780899 Hu Jul 1998 A
5847419 Imai Dec 1998 A
5856003 Chiu Jan 1999 A
5861334 Rho Jan 1999 A
5864163 Chou Jan 1999 A
5877049 Liu Mar 1999 A
5885876 Dennen Mar 1999 A
5889315 Farrenkopf Mar 1999 A
5895954 Yasumura Apr 1999 A
5899714 Farremkopf May 1999 A
5918129 Fulford, Jr. Jun 1999 A
5923067 Voldman Jul 1999 A
5923987 Burr Jul 1999 A
5936868 Hall Aug 1999 A
5946214 Heavlin Aug 1999 A
5985705 Seliskar Nov 1999 A
5989963 Luning Nov 1999 A
6001695 Wu Dec 1999 A
6020227 Bulucea Feb 2000 A
6043139 Eaglesham Mar 2000 A
6060345 Hause May 2000 A
6060364 Maszara May 2000 A
6066533 Yu May 2000 A
6072217 Burr Jun 2000 A
6087210 Sohn Jul 2000 A
6087691 Hamamoto Jul 2000 A
6088518 Hsu Jul 2000 A
6091286 Blauschild Jul 2000 A
6096588 Draper Aug 2000 A
6096611 Wu Aug 2000 A
6103562 Son Aug 2000 A
6121153 Kikkawa Sep 2000 A
6147383 Kuroda Nov 2000 A
6153920 Grossmann Nov 2000 A
6157073 Lehongres Dec 2000 A
6175582 Naito Jan 2001 B1
6184112 Maszara Feb 2001 B1
6190979 Radens Feb 2001 B1
6194259 Nayak Feb 2001 B1
6198157 Ishida Mar 2001 B1
6204153 Gardner Mar 2001 B1
6218892 Soumyanath Apr 2001 B1
6218895 De Apr 2001 B1
6221724 Yu Apr 2001 B1
6229188 Aoki May 2001 B1
6232164 Tsai May 2001 B1
6235597 Miles May 2001 B1
6245618 An Jun 2001 B1
6268640 Park Jul 2001 B1
6271070 Kotani Aug 2001 B2
6271551 Schmitz Aug 2001 B1
6288429 Iwata Sep 2001 B1
6297132 Zhang Oct 2001 B1
6300177 Sundaresan Oct 2001 B1
6313489 Letavic Nov 2001 B1
6319799 Ouyang Nov 2001 B1
6320222 Forbes Nov 2001 B1
6323525 Noguchi Nov 2001 B1
6326666 Bernstein Dec 2001 B1
6335233 Cho Jan 2002 B1
6342413 Masuoka Jan 2002 B1
6358806 Puchner Mar 2002 B1
6380019 Yu Apr 2002 B1
6391752 Colinge May 2002 B1
6417038 Noda Jul 2002 B1
6426260 Hshieh Jul 2002 B1
6426279 Huster Jul 2002 B1
6432754 Assaderaghi Aug 2002 B1
6444550 Hao Sep 2002 B1
6444551 Ku Sep 2002 B1
6449749 Stine Sep 2002 B1
6461920 Shirahata Oct 2002 B1
6461928 Rodder Oct 2002 B2
6469347 Oda Oct 2002 B1
6472278 Marshall Oct 2002 B1
6482714 Hieda Nov 2002 B1
6489224 Burr Dec 2002 B1
6492232 Tang Dec 2002 B1
6500739 Wang Dec 2002 B1
6503801 Rouse Jan 2003 B1
6503805 Wang Jan 2003 B2
6506640 Ishida Jan 2003 B1
6518623 Oda Feb 2003 B1
6521470 Lin Feb 2003 B1
6534373 Yu Mar 2003 B1
6541328 Whang Apr 2003 B2
6541829 Nishinohara Apr 2003 B2
6548842 Bulucea Apr 2003 B1
6551885 Yu Apr 2003 B1
6552377 Yu Apr 2003 B1
6573129 Hoke Jun 2003 B2
6576535 Drobny Jun 2003 B2
6600200 Lustig Jul 2003 B1
6620671 Wang Sep 2003 B1
6624488 Kim Sep 2003 B1
6627473 Oikawa Sep 2003 B1
6630710 Augusto Oct 2003 B1
6660605 Liu Dec 2003 B1
6662350 Fried Dec 2003 B2
6667200 Sohn Dec 2003 B2
6670260 Yu Dec 2003 B1
6693333 Yu Feb 2004 B1
6730568 Sohn May 2004 B2
6737724 Hieda May 2004 B2
6743291 Ang Jun 2004 B2
6743684 Liu Jun 2004 B2
6751519 Satya Jun 2004 B1
6753230 Sohn Jun 2004 B2
6760900 Rategh Jul 2004 B2
6770944 Nishinohara Aug 2004 B2
6787424 Yu Sep 2004 B1
6797553 Adkisson Sep 2004 B2
6797602 Kluth Sep 2004 B1
6797994 Hoke Sep 2004 B1
6808004 Kamm Oct 2004 B2
6808994 Wang Oct 2004 B1
6813750 Usami Nov 2004 B2
6821825 Todd Nov 2004 B2
6821852 Rhodes Nov 2004 B2
6822297 Nandakumar Nov 2004 B2
6831292 Currie Dec 2004 B2
6835639 Rotondaro Dec 2004 B2
6852602 Kanzawa Feb 2005 B2
6852603 Chakravarthi Feb 2005 B2
6881641 Wieczorek Apr 2005 B2
6881987 Sohn Apr 2005 B2
6891439 Jachne May 2005 B2
6893947 Martinez May 2005 B2
6900519 Cantell May 2005 B2
6901564 Stine May 2005 B2
6916698 Mocuta Jul 2005 B2
6917237 Tschanz Jul 2005 B1
6927463 Iwata Aug 2005 B2
6928128 Sidiropoulos Aug 2005 B1
6930007 Bu Aug 2005 B2
6930360 Yamauchi Aug 2005 B2
6957163 Ando Oct 2005 B2
6963090 Passlack Nov 2005 B2
6995397 Yamashita Feb 2006 B2
7002214 Boyd Feb 2006 B1
7008836 Algotsson Mar 2006 B2
7013359 Li Mar 2006 B1
7015546 Herr Mar 2006 B2
7015741 Tschanz Mar 2006 B2
7022559 Barnak Apr 2006 B2
7036098 Eleyan Apr 2006 B2
7038258 Liu May 2006 B2
7039881 Regan May 2006 B2
7042051 Ootsuka May 2006 B2
7045456 Murto May 2006 B2
7057216 Ouyang Jun 2006 B2
7061058 Chakravarthi Jun 2006 B2
7064039 Liu Jun 2006 B2
7064399 Babcock Jun 2006 B2
7071103 Chan Jul 2006 B2
7078325 Curello Jul 2006 B2
7078776 Nishinohara Jul 2006 B2
7089513 Bard Aug 2006 B2
7089515 Hanafi Aug 2006 B2
7091093 Noda Aug 2006 B1
7105399 Dakshina-Murthy Sep 2006 B1
7109099 Tan Sep 2006 B2
7119381 Passlack Oct 2006 B2
7122411 Mouli Oct 2006 B2
7127687 Signore Oct 2006 B1
7132323 Haensch Nov 2006 B2
7169675 Tan Jan 2007 B2
7170120 Datta Jan 2007 B2
7176137 Perng Feb 2007 B2
7186598 Yamauchi Mar 2007 B2
7189627 Wu Mar 2007 B2
7199430 Babcock Apr 2007 B2
7202517 Dixit Apr 2007 B2
7208354 Bauer Apr 2007 B2
7211871 Cho May 2007 B2
7221021 Wu May 2007 B2
7223646 Miyashita May 2007 B2
7226833 White Jun 2007 B2
7226843 Weber Jun 2007 B2
7230680 Fujisawa Jun 2007 B2
7235822 Li Jun 2007 B2
7256639 Koniaris Aug 2007 B1
7259428 Inaba Aug 2007 B2
7260562 Czajkowski Aug 2007 B2
7294877 Rueckes Nov 2007 B2
7297994 Wieczorek Nov 2007 B2
7301208 Handa Nov 2007 B2
7304350 Misaki Dec 2007 B2
7307471 Gammie Dec 2007 B2
7312500 Miyashita Dec 2007 B2
7323754 Ema Jan 2008 B2
7332439 Lindert Feb 2008 B2
7348629 Chu Mar 2008 B2
7354833 Liaw Apr 2008 B2
7380225 Joshi May 2008 B2
7398497 Sato Jul 2008 B2
7402207 Besser Jul 2008 B1
7402872 Murthy Jul 2008 B2
7416605 Zollner Aug 2008 B2
7427788 Li Sep 2008 B2
7442971 Wirbeleit Oct 2008 B2
7449733 Inaba Nov 2008 B2
7462908 Bol Dec 2008 B2
7469164 Du-Nour Dec 2008 B2
7470593 Rouh Dec 2008 B2
7485536 Jin Feb 2009 B2
7487474 Ciplickas Feb 2009 B2
7491988 Tolchinsky Feb 2009 B2
7494861 Chu Feb 2009 B2
7496862 Chang Feb 2009 B2
7496867 Turner Feb 2009 B2
7498637 Yamaoka Mar 2009 B2
7501324 Babcock Mar 2009 B2
7503020 Allen Mar 2009 B2
7507999 Kusumoto Mar 2009 B2
7514766 Yoshida Apr 2009 B2
7521323 Surdeanu Apr 2009 B2
7531393 Doyle May 2009 B2
7531836 Liu May 2009 B2
7538364 Twynam May 2009 B2
7538412 Schulze May 2009 B2
7562233 Sheng Jul 2009 B1
7564105 Chi Jul 2009 B2
7566600 Mouli Jul 2009 B2
7569456 Ko Aug 2009 B2
7586322 Xu Sep 2009 B1
7592241 Takao Sep 2009 B2
7595243 Bulucea Sep 2009 B1
7598142 Ranade Oct 2009 B2
7605041 Ema Oct 2009 B2
7605060 Meunier-Beillard Oct 2009 B2
7605429 Bernstein Oct 2009 B2
7608496 Chu Oct 2009 B2
7615802 Elpelt Nov 2009 B2
7622341 Chudzik Nov 2009 B2
7638380 Pearce Dec 2009 B2
7642140 Bae Jan 2010 B2
7644377 Saxe Jan 2010 B1
7645665 Kubo Jan 2010 B2
7651920 Siprak Jan 2010 B2
7655523 Babcock Feb 2010 B2
7673273 Madurawe Mar 2010 B2
7675126 Cho Mar 2010 B2
7675317 Perisetty Mar 2010 B2
7678638 Chu Mar 2010 B2
7681628 Joshi Mar 2010 B2
7682887 Dokumaci Mar 2010 B2
7683442 Burr Mar 2010 B1
7696000 Liu Apr 2010 B2
7704822 Jeong Apr 2010 B2
7704844 Zhu Apr 2010 B2
7709828 Braithwaite May 2010 B2
7723750 Zhu May 2010 B2
7737472 Kondo Jun 2010 B2
7741138 Cho Jun 2010 B2
7741200 Cho Jun 2010 B2
7745270 Shah Jun 2010 B2
7750374 Capasso Jul 2010 B2
7750381 Hokazono Jul 2010 B2
7750405 Nowak Jul 2010 B2
7750682 Bernstein Jul 2010 B2
7755144 Li Jul 2010 B2
7755146 Helm Jul 2010 B2
7759206 Luo Jul 2010 B2
7759714 Itoh Jul 2010 B2
7761820 Berger Jul 2010 B2
7795677 Bangsaruntip Sep 2010 B2
7808045 Kawahara Oct 2010 B2
7808410 Kim Oct 2010 B2
7811873 Mochizuki Oct 2010 B2
7811881 Cheng Oct 2010 B2
7818702 Mandelman Oct 2010 B2
7821066 Lebby Oct 2010 B2
7829402 Matocha Nov 2010 B2
7831873 Trimberger Nov 2010 B1
7846822 Seebauer Dec 2010 B2
7855118 Hoentschel Dec 2010 B2
7859013 Chen Dec 2010 B2
7863163 Bauer Jan 2011 B2
7867835 Lee Jan 2011 B2
7883977 Babcock Feb 2011 B2
7888205 Herner Feb 2011 B2
7888747 Hokazono Feb 2011 B2
7895546 Lahner Feb 2011 B2
7897495 Ye Mar 2011 B2
7906413 Cardone Mar 2011 B2
7906813 Kato Mar 2011 B2
7910419 Fenouillet-Beranger Mar 2011 B2
7919791 Flynn Apr 2011 B2
7926018 Moroz Apr 2011 B2
7935984 Nakano May 2011 B2
7941776 Majumder May 2011 B2
7945800 Gomm May 2011 B2
7948008 Liu May 2011 B2
7952147 Ueno May 2011 B2
7960232 King Jun 2011 B2
7960238 Kohli Jun 2011 B2
7968400 Cai Jun 2011 B2
7968411 Williford Jun 2011 B2
7968440 Seebauer Jun 2011 B2
7968459 Bedell Jun 2011 B2
7989900 Haensch Aug 2011 B2
7994573 Pan Aug 2011 B2
8004024 Furukawa Aug 2011 B2
8012827 Yu Sep 2011 B2
8029620 Kim Oct 2011 B2
8039332 Bernard Oct 2011 B2
8046598 Lee Oct 2011 B2
8048791 Hargrove Nov 2011 B2
8048810 Tsai Nov 2011 B2
8051340 Cranford, Jr. Nov 2011 B2
8053340 Colombeau Nov 2011 B2
8063466 Kurita Nov 2011 B2
8067279 Sadra Nov 2011 B2
8067280 Wang Nov 2011 B2
8067302 Li Nov 2011 B2
8076719 Zeng Dec 2011 B2
8097529 Krull Jan 2012 B2
8103983 Agarwal Jan 2012 B2
8105891 Yeh Jan 2012 B2
8106424 Schruefer Jan 2012 B2
8106481 Rao Jan 2012 B2
8110487 Griebenow Feb 2012 B2
8114761 Mandrekar Feb 2012 B2
8119482 Bhalla Feb 2012 B2
8120069 Hynecek Feb 2012 B2
8129246 Babcock Mar 2012 B2
8129797 Chen Mar 2012 B2
8134159 Hokazono Mar 2012 B2
8143120 Kerr Mar 2012 B2
8143124 Challa Mar 2012 B2
8143678 Kim Mar 2012 B2
8148774 Mori Apr 2012 B2
8163619 Yang Apr 2012 B2
8169002 Chang May 2012 B2
8170857 Joshi May 2012 B2
8173499 Chung May 2012 B2
8173502 Yan May 2012 B2
8176461 Trimberger May 2012 B1
8178430 Kim May 2012 B2
8179530 Levy May 2012 B2
8183096 Wirbeleit May 2012 B2
8183107 Mathur May 2012 B2
8185865 Gupta May 2012 B2
8187959 Pawlak May 2012 B2
8188542 Yoo May 2012 B2
8196545 Kurosawa Jun 2012 B2
8201122 Dewey, III Jun 2012 B2
8214190 Joshi Jul 2012 B2
8217423 Liu Jul 2012 B2
8225255 Ouyang Jul 2012 B2
8227307 Chen Jul 2012 B2
8236661 Dennard Aug 2012 B2
8239803 Kobayashi Aug 2012 B2
8247300 Babcock Aug 2012 B2
8255843 Chen Aug 2012 B2
8258026 Bulucea Sep 2012 B2
8266567 El Yahyaoui Sep 2012 B2
8286180 Foo Oct 2012 B2
8288798 Passlack Oct 2012 B2
8299562 Li Oct 2012 B2
8324059 Guo Dec 2012 B2
20010014495 Yu Aug 2001 A1
20020033511 Babcock Mar 2002 A1
20020042184 Nandakumar Apr 2002 A1
20030006415 Yokogawa Jan 2003 A1
20030047763 Hieda Mar 2003 A1
20030122203 Nishinohara Jul 2003 A1
20030173626 Burr Sep 2003 A1
20030183856 Wieczorek Oct 2003 A1
20030215992 Sohn Nov 2003 A1
20040053457 Sohn Mar 2004 A1
20040075118 Heinemann Apr 2004 A1
20040075143 Bae Apr 2004 A1
20040084731 Matsuda May 2004 A1
20040087090 Grudowski May 2004 A1
20040126947 Sohn Jul 2004 A1
20040175893 Vatus Sep 2004 A1
20040180488 Lee Sep 2004 A1
20050056877 Rueckes Mar 2005 A1
20050106824 Alberto May 2005 A1
20050116282 Pattanayak Jun 2005 A1
20050214965 Park Sep 2005 A1
20050250289 Babcock Nov 2005 A1
20050280075 Ema Dec 2005 A1
20060017100 Bol Jan 2006 A1
20060022270 Boyd Feb 2006 A1
20060049464 Rao Mar 2006 A1
20060068555 Huilong Mar 2006 A1
20060068586 Pain Mar 2006 A1
20060071278 Takao Apr 2006 A1
20060091481 Li May 2006 A1
20060154428 Dokumaci Jul 2006 A1
20060157794 Doyle Jul 2006 A1
20060197158 Babcock Sep 2006 A1
20060203581 Joshi Sep 2006 A1
20060220114 Miyashita Oct 2006 A1
20060223248 Venugopal Oct 2006 A1
20070040222 Van Camp Feb 2007 A1
20070117326 Tan May 2007 A1
20070158790 Rao Jul 2007 A1
20070212861 Chidambarrao Sep 2007 A1
20070238253 Tucker Oct 2007 A1
20080067589 Ito Mar 2008 A1
20080108208 Arevalo May 2008 A1
20080138953 Challa Jun 2008 A1
20080169493 Lee Jul 2008 A1
20080169516 Chung Jul 2008 A1
20080197439 Goerlach Aug 2008 A1
20080227250 Ranade Sep 2008 A1
20080237661 Ranade Oct 2008 A1
20080258198 Bojarczuk Oct 2008 A1
20080272409 Sonkale Nov 2008 A1
20090003105 Itoh Jan 2009 A1
20090057746 Sugll Mar 2009 A1
20090057762 Bangsarontip Mar 2009 A1
20090108350 Cai Apr 2009 A1
20090121298 Furukawa May 2009 A1
20090134468 Tsuchiya May 2009 A1
20090224319 Kohli Sep 2009 A1
20090302388 Cai Dec 2009 A1
20090309140 Khamankar Dec 2009 A1
20090311837 Kapoor Dec 2009 A1
20090321849 Miyamura Dec 2009 A1
20100012988 Yang Jan 2010 A1
20100038724 Anderson Feb 2010 A1
20100100856 Mittal Apr 2010 A1
20100148153 Hudait Jun 2010 A1
20100149854 Vora Jun 2010 A1
20100187641 Zhu Jul 2010 A1
20100207182 Paschal Aug 2010 A1
20100244152 Bahl Sep 2010 A1
20100270600 Inukai Oct 2010 A1
20110057294 Arena Mar 2011 A1
20110059588 Kang Mar 2011 A1
20110073961 Dennard Mar 2011 A1
20110074498 Thompson Mar 2011 A1
20110079860 Verhulst Apr 2011 A1
20110079861 Shifren Apr 2011 A1
20110095811 Chi Apr 2011 A1
20110121404 Shifren May 2011 A1
20110147828 Murthy Jun 2011 A1
20110169082 Zhu Jul 2011 A1
20110175170 Wang Jul 2011 A1
20110180880 Chudzik Jul 2011 A1
20110193164 Zhu Aug 2011 A1
20110212590 Wu Sep 2011 A1
20110230039 Mowry Sep 2011 A1
20110242921 Tran Oct 2011 A1
20110248352 Shifren Oct 2011 A1
20110294278 Eguchi Dec 2011 A1
20110309447 Arghavani Dec 2011 A1
20110309450 Shifren Dec 2011 A1
20120021594 Gurtej Jan 2012 A1
20120034745 Colombeau Feb 2012 A1
20120056275 Cai Mar 2012 A1
20120065920 Nagumo Mar 2012 A1
20120100680 Chuang Apr 2012 A1
20120108050 Chen May 2012 A1
20120132998 Kwon May 2012 A1
20120138953 Cai Jun 2012 A1
20120146155 Koentschel Jun 2012 A1
20120167025 Gillespie Jun 2012 A1
20120187491 Zhu Jul 2012 A1
20120190177 Kim Jul 2012 A1
20120223363 Kronholz Sep 2012 A1
Foreign Referenced Citations (13)
Number Date Country
0274278 Jul 1988 EP
0312237 Apr 1989 EP
0531621 Mar 1993 EP
0683515 Nov 1995 EP
0889502 Jan 1999 EP
1450394 Aug 2004 EP
59193066 Nov 1984 JP
4186774 Jul 1992 JP
8153873 Jun 1996 JP
8288508 Nov 1996 JP
2004087671 Mar 2004 JP
794094 Jan 2008 KR
WO2011062788 May 2011 WO
Non-Patent Literature Citations (36)
Entry
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15 μm n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24.
Chau, R et al., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4.
Ducroquet, F et al. “Fully Depleted Silicon-On-Insulator nMOSFETs with Tensile Strained High Carbon Content Si1-y/Cy Channel”, ECS 210th Meeting, Abstract 1033, 2006.
Ernst, T et al., “Nanoscaled MOSFET Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans. 2006, vol. 3, Issue 7, pp. 947-961.
Goesele, U et al., Diffusion Engineering by Carbon in Silicon, Mat. Res. Soc. Symp. vol. 610, 2000.
Hokazono, A et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113.
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Symposium, pp. 29.1.1-29.1.4, 2009.
Holland, OW and Thomas, DK “A Method to Improve Activation of Implanted Dopants in SiC”, Oak Ridge National Laboratory, Oak Ridge, TN, 2001.
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage MOSFET (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate CMOS”, IEDM 96, pp. 459-462, 1996.
Lavéant, P. “Incorporation, Diffusion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194, 2002.
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814, Apr. 1998.
Ohguro, T et al., “An 0.18-μm CMOS for Mixed Digital and Analog Aplications with Zero-Volt-Vth Epitaxial-Channel MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378-1383, Jul. 1999.
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, No. 3, pp. 1582-1588, Aug. 2002.
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610, 2000.
Scholz, R et al., “Carbon-Induced Undersaturation of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202, Jan. 1998.
Scholz, RF et al., “The Contribution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394, Jan. 1999.
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050, May 1997.
Thompson, S et al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Technology Journal Q3 1998, pp. 1-19, 1998.
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High-Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116, 1996.
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467, Oct. 1998.
Yan, Ran-Hong et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices, vol. 39, No. 7, Jul. 1992.
Advanced Channel Engineering Achieving Aggressive Reduction of VT Variation for Ultra-Low-Power Applications; Electron Devices Meeting (IEDM11-749), 2011 IEEE International, Dec. 5, 2011 (Dec. 5, 2011), pp. 32.3.1-32.3.4—XP032096049A, ISBN: 978-1-4577-0506-9, Section “DDC Transistor Structure” (4 pgs).
PCT Notification of Transmittal of the Int'l Search Report and the Written Opinion of the Int'l Searching Authority, or the Declaration; Re: Intl. Appln. PCT/US2013/047767 dated Sep. 16, 2013 (Sep. 16, 2013); Int'l filing date Jun. 26, 2013 (Jun. 26, 2013); from foreign .0437 (13 pgs).
Komaragiri, R. et al., “Depletion-Free Poly Gate Electrode Architecture for Sub 100 Nanometer CMOS Devices with High-K Gate Dielectrics”, IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, 2004.
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forecast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93, 2006.
Wong, H et al., “Nanoscale CMOS”, Proceedings of the IEEE, Vo. 87, No. 4, pp. 537-570, Apr. 1999.
Stanley Wolf et al., Silicon Processing for the VLSI Era, 2000, Lattice Press, vol. 1, pp. 109-112.
Banerjee, et al. “Compensating Non-Optical Effects Using Electrically-Driven Optical Proximity Correction,” Proc. of SPIE vol. 7275 7275OE, 2009.
Cheng, et al. “Extremely Thin SOI (ETSOI) CMOS with Record Low Variability for Low Power System-on-Chip Applications,” Electron Devices Meeting (IEDM), Dec. 2009.
Cheng, et al. “Fully Depleted Extremely Thin SOI Technology Fabricated by a Novel Integration Scheme Featuring Implant-Free, Zero-Silicon-Loss, and Faceted Raised Source/Drain,” Symposium on VLSI Technology Digest of Technical Papers, pp. 212-213, 2009.
Drennan, et al. “Implications of Proximity Effects for Analog Design,” Custom Integrated Circuits Conferences, pp. 169-176, Sep. 2006.
Hook, et al. “Lateral Ion Implant Struggle and Mask Proximity Effect,” IEEE Transactions on Electron Devices, vol. 50, No. 9, pp. 1946-1951, Sep. 2003.
Hori, et al. “A 0.1 μm CMOS with a Step Channel Profile Formed by Ultra High Vacuum CVD and In-Situ Doped Ions,” Proceedings of the International Electron Devices Meeting, New York, IEEE, US, pp. 909-911, Dec. 5, 1993.
Matshuashi, et al. “High-Performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET,” Symposium on VLSI Technology Digest of Technical Papers, pp. 36-37, 1996.
Shao, et al. “Boron Diffusion in Silicon: The Anomalies and Control by Point Defect Engineering,” Materials Science and Engineering R: Reports, vol. 42, No. 3-4, pp. 65-114, Nov. 1, 2003.
Sheu, et al. “Modeling the Well-Edge Proximity Effect in Highly Scaled MOSFETs,” IEEE Transactions on Electron Devices, vol. 53, No. 11, pp. 2791-2798, Nov. 2006.
Related Publications (1)
Number Date Country
20160163823 A1 Jun 2016 US
Provisional Applications (1)
Number Date Country
61665113 Jun 2012 US
Divisions (1)
Number Date Country
Parent 13926555 Jun 2013 US
Child 15047052 US