SRAM cell layout structure and devices therefrom

Information

  • Patent Grant
  • 9424385
  • Patent Number
    9,424,385
  • Date Filed
    Friday, October 10, 2014
    9 years ago
  • Date Issued
    Tuesday, August 23, 2016
    7 years ago
Abstract
A method for modifying a design of an integrated circuit includes obtaining design layout data for the integrated circuit and selecting at least one SRAM cell in the integrated circuit to utilize enhanced body effect (EBE) transistors comprising a substantially undoped channel layer and a highly doped screening region beneath the channel layer. The method also includes extracting, from the design layout, NMOS active area patterns and PMOS active area patterns associated with the SRAM cell to define an EBE NMOS active area layout and a EBE PMOS active area layout. The method further includes adjusting the EBE NMOS active area layout to reduce a width of at least pull-down devices in the SRAM cell and altering a gate layer layout in the design layout data such that a length of pull-up devices in the at least one SRAM and a length of the pull-down devices are substantially equal.
Description
FIELD OF THE INVENTION

The present invention relates to SRAM cell design, and more specifically to a method for fabricating integrated circuits including an improved SRAM cell layout structure and devices therefrom.


BACKGROUND

There are several interrelated design parameters that must be considered during SRAM cell design. These include, for example, static noise margin (“hereinafter” SNM), write margin, bit line speed, and data retention voltage. SNM is defined as the minimum DC noise voltage necessary to flip the state of the SRAM cell. An SRAM cell can have different SNM during read and write operations, referred to as read SNM and write SNM, respectively. Read SNM is also an indicator of cell stability and is sometimes simply referred to as cell stability. A higher read SNM indicates that it is more difficult to invert the state of the cell during a read operation. Write margin is defined as the minimum bit line voltage necessary to invert the state of an SRAM cell. A higher write margin indicates that it is easier to invert the state of the cell during a write operation. Read speed is defined as the bit line slew rate in response to a high word line voltage, typically the time from the rising edge assertion of word line until some differential between the high and falling bit line is obtained. Data retention voltage is defined as the minimum power supply voltage required to retain a logic state of either “0” or “1” data in the SRAM cell in standby mode.


As process technology has scaled, it has become increasingly difficult to control the variation of transistor parameters because of a variety of sources of systemic mismatch. These sources of systemic mismatch can also include geometric sources of mismatch that arise from variation in alignment and additional lithographic effects such as corner rounding. For example, the jogs or notches in the active silicon region, used to achieve a desired ratio between the strengths of the pull-down to pass-gate transistors (represented by the width to length ratio of each of these transistors) for cell stability during a read access, can be subject to significant corner rounding. Similarly, the jogs or notches in the gate structures, used to achieve a desired pull down transistor size, can also be subject to significant corner rounding.


Threshold voltage variations become a limiting factor in transistor design as process technology is optically scaled downward while voltage cannot be similarly scaled. Threshold voltage variations between neighboring MOSFETs can have significant impact on the SNM, cell stability, write margin, read speed, and data retention voltage of the SRAM cell. Threshold voltage variations between pass-gate and pull-down transistors of the SRAM cell can degrade cell stability. During a read, the read current discharging the bit line flows through the series connection of the pass-gate and pull-down NMOS transistors. The voltage divider formed by these transistors raises the low voltage in the cell, thereby contributing to the degradation of cell stability. Variations in the threshold voltage of the pass-gate or pull-down transistor can result in a large variation in the voltage divider ratio of the pass-gate transistors to pull down transistors, increasing the likelihood of inverting the SRAM cell during a read operation, i.e., upsetting the stored state. Other SRAM cell design parameters such as write margin, bit line speed (as measured by slew rate) or read current, and data retention voltage can also be affected by threshold voltage variations.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 shows an embodiment of an Enhanced Body Effect (EBE) transistor having an enhanced body coefficient, along with the ability to set threshold voltage with enhanced precision, according to certain described embodiments;



FIG. 2 shows an integrated circuit device according to an embodiment;



FIG. 3 shows an annotated SRAM cell, according to an embodiment, that illustrates some of the EBE transistor characteristics that can provide an SRAM cell with enhanced performance characteristics;



FIG. 4 is a plot of the drain current as a function of the drain voltage for an EBE transistor according to an embodiment and a conventional transistor.



FIG. 5A is a flow diagram illustrating a method for porting a source SRAM cell using conventional transistors to a target SRAM cell using EBE transistors, in accordance with an embodiment;



FIG. 5B is a layout f a 6T SRAM cell using EBE transistors illustrating the transistor size modifications that are performed to obtain the target SRAM cell, in accordance with the method of FIG. 5A;



FIG. 6A is a flow diagram illustrating a method for porting a source SRAM cell using conventional transistors to a target SRAM cell using EBE transistors, in accordance with an embodiment;



FIG. 6B is a layout of a 6T SRAM cell using EBE transistors illustrating the transistor size modifications that are performed to obtain the target SRAM cell, in accordance with the method of FIG. 6A;



FIG. 7A is a flow diagram illustrating a method for porting a source SRAM cell using conventional transistors to a target SRAM cell using EBE transistors, in accordance with an embodiment; and



FIG. 7B is a layout of a 6T SRAM cell using EBE transistors illustrating the transistor size modifications that are performed to obtain the target SRAM cell, in accordance with the method.



FIG. 8A is a flow diagram illustrating a method for porting a source SRAM cell using conventional transistors to a target SRAM cell using EBE transistors, in accordance with an embodiment; and



FIG. 8B is a layout of a 6T SRAM cell using EBE transistors illustrating the transistor size modifications that are performed to obtain the target SRAM cell, in accordance with the method 800; and



FIG. 8C illustrates the layout of the target SRAM cell after the resizing described above with reference to FIG. 8A and FIG. 8B, in accordance with one embodiment.





DETAILED DESCRIPTION

Embodiments are described with reference to the attached figures, wherein like reference numerals are used throughout the figures to designate similar or equivalent elements. The figures are not drawn to scale and they are provided merely to illustrate the embodiments. Several embodiments are described below with reference to example applications for illustration. It should be understood that numerous specific details, relationships, and methods are set forth to provide a full understanding of the invention. One having ordinary skill in the relevant art, however, will readily recognize that the invention can be practiced without one or more of the specific details or with other methods. In other instances, well-known structures or operations are not shown in detail to avoid obscuring the invention. Embodiments not limited by the illustrated ordering of acts or events, as some acts may occur in different orders and/or concurrently with other acts or events. Furthermore, not all illustrated acts or events are required to implement an embodiment.


Various embodiments are directed to circuits and methods related to an improved SRAM cell having transistors that have an enhanced body coefficient to improve against variation that limits SRAM designs and can rid the layout of notches and jogs. The various embodiments include circuits and methods in which the improved SRAM cell results from the use of transistors that have reduced variability of threshold voltage. By using such transistors, the SRAM cell can have an enhanced stability. Specifically, the various embodiments utilize Enhanced Body Effect (EBE) transistors.



FIG. 1 shows an embodiment of an EBE transistor 100 having an enhanced body coefficient, along with the ability to set threshold voltage Vt with enhanced precision, according to certain described embodiments. The EBE transistor 100 includes a gate electrode 102, source 104, drain 106, and a gate dielectric 128 positioned over a substantially undoped channel 110. As used herein, the term “substantially undoped” refers to a doping of less than 5×1017 atoms/cm3. Lightly doped source and drain extensions (SDE) 132, positioned respectively adjacent to source 104 and drain 106, extend toward each other, setting the transistor channel length.


In FIG. 1, the EBE transistor 100 is shown as an N-channel transistor having a source 104 and drain 106 made of N-type dopant material, formed upon a substrate such as a P-type doped silicon substrate providing a P-well 114 formed on a substrate 116. In addition, the N-channel EBE transistor in FIG. 1 includes a highly doped screening region 112 made of P-type dopant material, and a threshold voltage set region 111 made of P-type dopant material. However, it will be understood that, with appropriate changes to dopant materials, a P-channel EBE transistor can be formed.


In one embodiment, a process for forming the EBE transistor begins with forming the screening region 112. In certain embodiments, the screening region is formed by implanting dopants into the P-well 114. In alternative embodiments the screening region is formed on the P-well using methods such as in-situ doped epitaxial silicon deposition, or epitaxial silicon deposition followed by dopant implantation. The screening region formation step can be before or after STI (shallow trench isolation) formation, depending on the application and results desired. Boron (B), Indium (I), or other P-type materials may be used for P-type implants, and arsenic (As), antimony (Sb) or phosphorous (P) and other N-type materials can be used for N-type implants. In certain embodiments, the screening region 112 can have a dopant concentration between about 1×1019 to 5×1020 dopant atoms/cm3, with the selected dopant concentration dependent on the desired threshold voltage as well as other desired transistor characteristics. A germanium (Ge), carbon (C), or other dopant migration resistant layer can be incorporated above the screening region to reduce upward migration of dopants. The dopant migration resistant layer can be formed by way of ion implantation, in-situ doped epitaxial growth or other process.


In certain embodiments, a threshold voltage set region 111 is positioned above the screening region 112. The threshold voltage set region 111 can be either adjacent to, incorporated within or vertically offset from the screening region. In certain embodiments, the threshold voltage set region 111 is formed by delta doping, controlled in-situ deposition, or atomic layer deposition. In alternative embodiments, the threshold voltage set region 111 can be formed by way of controlled outdiffusion of dopant material from the screening region 112 into an undoped epitaxial layer, or by way of a separate implantation into the substrate following formation of the screening region 112, before the undoped epitaxial layer is formed. Setting of the threshold voltage for the transistor is implemented by suitably selecting dopant concentration and thickness of the threshold voltage set region 111, as well as maintaining a separation of the threshold voltage set region 111 from the gate dielectric 128, leaving a substantially undoped channel layer directly adjacent to the gate dielectric 128. In certain embodiments, the threshold voltage set region 111 can have a dopant concentration between about 1×1018 dopant atoms/cm3 and about 5×1019 dopant atoms per cm3. In alternative embodiments, the threshold voltage set region 111 can have a dopant concentration that is approximately less than half of the concentration of dopants in the screening region 112. In certain embodiments, the final layer of the channel is formed above the screening region 112 and threshold voltage set region 111 by way of a blanket or selective EPI deposition, to result in a substantially undoped channel region 110 of a thickness tailored to the technical specifications of the device. As a general matter, the thickness of the substantially undoped channel region 110 ranges from approximately 5-25 nm, with the selected thickness based upon the desired threshold voltage for the transistor. Preferably, a blanket EPI deposition step is performed after forming the screening region 112, and the threshold voltage setting region 111 is formed by controlled outdiffusion of dopants from the screening region 112 into a portion of the blanket EPI layer, as described below. Dopant migration resistant layers of C, Ge, or the like can be utilized as needed, especially in the NMOS regions, to prevent dopant migration from the threshold voltage set region 111 into the substantially undoped channel region 110, or alternatively from the screening region 112 into the threshold voltage set region 111. STI structures are preferably formed after the blanket EPI deposition step, using a process that remains within a thermal budget that effectively avoids substantial change to the dopant profiles of the previously formed screening region 112 and threshold voltage setting region 111.


In addition to using dopant migration resistant layers, other techniques can be used to reduce upward migration of dopants from the screening region 112 and the threshold voltage set region 111, including but not limited to low temperature processing, selection or substitution of low migration dopants such as antimony or indium, low temperature or flash annealing to reduce interstitial dopant migration, or any other technique to reduce movement of dopant atoms can be used.


As described above, the substantially undoped channel region 110 is positioned above the threshold voltage set region 111. Preferably, the substantially undoped channel region 110 has a dopant concentration less than 5×1017 dopant atoms per cm3 adjacent or near the gate dielectric 128. In some embodiments, the substantially undoped channel region 110 can have a dopant concentration that is specified to be approximately less than one tenth of the dopant concentration in the screening region 112. In still other embodiments, depending on the transistor characteristics desired, the substantially undoped channel region 110 may contain dopants so that the dopant concentration is elevated to above 5×1017 dopant atoms per cm3 adjacent or near the gate dielectric 128. Preferably, the substantially undoped channel region 110 remains substantially undoped by avoiding the use of halo or other channel implants.


A gate stack may be formed or otherwise constructed above the substantially undoped channel region 110 in a number of different ways, from different materials including polysilicon and metals to form what is known as “high-k metal gate”. The metal gate process flow may be “gate 1st” or “gate last”. Preferably, the metal gate materials for NMOS and PMOS are selected to near mid-gap, to take full advantage of the EBE transistor. However, traditional metal gate work function band-gap settings may also be used. In one scheme, as a way to attain the desired work functions for given devices, metal gate materials can be switched between NMOS and PMOS pairs. Following formation of the gate stack, source/drain portions may be formed. Typically, the extension portions are implanted, followed by additional spacer formation and then implant or, alternatively, selective epitaxial deposition of deep source/drain regions.


The source 104 and drain 106 can be formed preferably using conventional processes and materials such as ion implantation and in-situ doped epitaxial deposition. Source 104 and drain 106 may further include stress inducing source/drain structures, raised and/or recessed source/drains, asymmetrically doped, counter-doped or crystal structure modified source/drains, or implant doping of source/drain extension regions according to LDD (lightly doped drain) techniques, provided that the thermal budget for any anneal steps be within the boundaries of what is required to keep the screening region 112 and threshold voltage setting region 111 substantially intact. The channel 110 contacts and extends between the source 104 and the drain 106, and supports movement of mobile charge carriers between the source and the drain. In operation, when gate electrode voltage is applied to the EBE transistor 100 at a predetermined level, a depletion region formed in the substantially undoped channel 110 can extend to the screening region 112, since channel depletion depth is a function of the integrated charge from dopants in the doped channel lattice, and the substantially undoped channel 110 has very few dopants. The screening region 112, if fabricated according to specification, effectively pins the depletion region to define the depletion zone width. The threshold voltage in conventional field effect transistors (FETs) can be set by directly implanting a “threshold voltage implant” into the channel, raising the threshold voltage to an acceptable level that reduces transistor off-state leakage while still allowing speedy transistor switching.


The threshold voltage in conventional field effect transistors (FETs) can be set by directly implanting a “threshold voltage implant” into the channel, raising the threshold voltage to an acceptable level that reduces transistor off-state leakage while still allowing speedy transistor switching. Alternatively, the threshold voltage (Vt) in conventional FETs can also be set by a technique variously known as “halo” implants, high angle implants, or pocket implants. Such implants create a localized, graded dopant distribution near a transistor source and drain that extends a distance into the channel. Halo implants are often required by transistor designers who want to reduce unwanted source/drain leakage conduction or “punch through” current, but have the added advantage of adjusting threshold voltage. Unfortunately, halo implants introduce additional process steps thereby increasing the manufacturing cost. Also, halo implants can introduce additional dopants in random, unwanted locations in the channel. These additional dopants increase the variability of threshold voltage between transistors, and decrease mobility and channel transconductance due to the adverse effects of additional and unwanted dopant scattering centers in the channel. Eliminating or greatly reducing the number of halo implants is desirable for reducing manufacture time and making more reliable wafer processing. By contrast, the techniques for forming the EBE transistor 100 use different threshold voltage setting techniques that do not rely on halo implants (i.e. haloless processing) or channel implants to set the threshold voltage to a desired range. By maintaining a substantially undoped channel near the gate, the EBE transistor further allows for greater channel mobility for electron and hole carriers with improved variation in threshold voltage from device to device.


As will also be appreciated, position, concentration, and thickness of the screening region 112 are important factors in the design of the EBE transistor. In certain embodiments, the screening region is located above the bottom of the source and drain junctions. To dope the screening region so as to cause its peak dopant concentration to define the edge of the depletion width when the transistor is turned on, methods such as delta doping, broad dopant implants, or in-situ doping is preferred, since the screening region 112 should have a finite thickness to enable the screening region 112 to adequately screen the well therebelow while avoiding creating a path for excessive junction leakage. When transistors are configured to have such screening regions, the transistor can simultaneously have good threshold voltage matching, high output resistance, low junction leakage, good short channel effects, and still have an independently controllable body due to a strong body effect. In addition, multiple EBE transistors having different threshold voltages can be easily implemented by customizing the position, thickness, and dopant concentration of the threshold voltage set region 111 and/or the screening region 112 while at the same time achieving a reduction in the threshold voltage variation. In one embodiment, the screening region is positioned such that the top surface of the screening region is located approximately at a distance of Lg/1.5 to Lg/5 below the gate (where Lg is the gate length). In one embodiment, the threshold voltage set region has a dopant concentration that is approximately 1/10 of the screening region dopant concentration. In certain embodiments, the threshold voltage set region is thin so that the combination of the threshold voltage set region and the screening region is located approximately within a distance of Lg/1.5 to Lg/5 below the gate.


Modifying threshold voltage by use of a threshold voltage set region 111 positioned above the screening region 112 and below the substantially undoped channel 110 is an alternative technique to conventional threshold voltage implants for adjusting threshold voltage. Care must be taken to prevent dopant migration into the substantially undoped channel 110, and use of low temperature anneals and anti-migration materials, such as carbon or germanium, are recommended for many applications. More information about the formation of the threshold voltage set region 111 and the EBE transistor is found in pending U.S. patent application Ser. No. 12/895,785 filed Sep. 30, 2010 and entitled ADVANCED TRANSISTORS WITH THRESHOLD VOLTAGE SET DOPANT STRUCTURES, the entirety of which disclosure in herein incorporated by reference.


Yet another technique for modifying threshold voltage relies on selection of a gate material having a suitable work function. The gate electrode 102 can be formed from conventional materials, preferably including, but not limited to, metals, metal alloys, metal nitrides and metal silicides, as well as laminates thereof and composites thereof. In certain embodiments the gate electrode 102 may also be formed from polysilicon, including, for example, highly doped polysilicon and polysilicon-germanium alloy. Metals or metal alloys may include those containing aluminum, titanium, tantalum, or nitrides thereof, including titanium containing compounds such as titanium nitride. Formation of the gate electrode 102 can include silicide methods, chemical vapor deposition methods and physical vapor deposition methods, such as, but not limited to, evaporative methods and sputtering methods. Typically, the gate electrode 102 has an overall thickness from about 1 to about 500 nanometers. In certain embodiments, metals having a work function intermediate between band edge and mid-gap can be selected. As discussed in pending U.S. patent application Ser. No. 12/960,266, filed Dec. 3, 2010 and entitled SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATION THEREOF WITH MIXED METAL TYPES, the entirety of which disclosure is herein incorporated by reference, such metal gates simplify swapping of PMOS and NMOS gate metals to allow a reduction in mask steps and different required metal types for systems on a chip or other die supporting multiple transistor types.


Applied bias to the screening region 112 is yet another technique for modifying threshold voltage of the EBE 100. The screening region 112 sets the body effect for the transistor and allows for a higher body effect than is found in conventional FET technologies. For example, a body tap 126 to the screening region 112 of the EBE transistor can be formed in order to provide further control of threshold voltage. The applied bias can be either reverse or forward biased, and can result in significant changes to threshold voltage. Bias can be static or dynamic, and can be applied to isolated transistors, or to groups of transistors that share a common well. Biasing can be static to set threshold voltage at a fixed set point, or dynamic, to adjust to changes in transistor operating conditions or requirements. Various suitable biasing techniques are disclosed in pending U.S. patent application Ser. No. 12/708,497 filed Feb. 18, 2010 now U.S. Pat. No. 8,273,617, the entirety of which disclosure is herein incorporated by reference.


Advantageously, EBE transistors have a reduced mismatch arising from scattered or random dopant variations as compared to conventional MOS transistors. In certain embodiments, the reduced variation results from the adoption of structures such as the screening region, the optional threshold voltage set region, and the epitaxially grown channel region. In certain alternative embodiments, mismatch between EBE transistors can be reduced by implanting the screening layer across multiple EBE transistors before the creation of transistor isolation structures, and forming the channel layer as a blanket epitaxial layer that is grown before the creation of transistor epitaxial structures. In certain embodiments, the screening region has a substantially uniform concentration of dopants in a lateral plane. The EBE transistor can be formed using a semiconductor process having a thermal budget that allows for a reasonable throughput while managing the diffusivities of the dopants in the channel. Further examples of transistor structure and manufacture suitable for use in EBE transistors are disclosed in U.S. patent application Ser. No. 12/708,497, filed on Feb. 18, 2010 and entitled ELECTRONIC DEVICES AND SYSTEMS, AND METHODS FOR MAKING AND USING THE SAME now U.S. Pat. No. 8,273,617, as well as U.S. patent application Ser. No. 12/971,884, filed on Dec. 17, 2010 and entitled LOW POWER SEMICONDUCTOR TRANSISTOR STRUCTURE AND METHOD OF FABRICATION THEREOF and U.S. patent application Ser. No. 12/971,955 filed on Dec. 17, 2010 and entitled TRANSISTOR WITH THRESHOLD VOLTAGE SET NOTCH AND METHOD OF FABRICATION THEREOF, the respective contents of which are incorporated by reference herein.


Referring initially to FIG. 2, an integrated circuit device according to an embodiment is shown in a block diagram and designated by the general reference character 200. Integrated circuit 200 is a Static Random Access Memory (SRAM) device that may include a number of SRAM cells, including SRAM cells arranged in multiple rows and columns. For ease of discussion, however, only two SRAM cells 205 and 210 are illustrated and discussed along with the associated column power supplies 215 and 220 to generate the applied power supply voltages. The SRAM cells 205 and 210 are implemented using EBE transistors.


In FIG. 2, the SRAM cell 205 includes a pair of pass-gate EBE transistors 225 and 230, a pair of pull-up EBE transistors 235 and 240, and a pair of pull-down EBE transistors 245 and 250. The pass-gate EBE transistors 225 and 230 and the pull-down EBE transistors 245 and 250 are typically NMOS transistors. The pass-gate EBE transistors 225 and 230 couple a pair of data lines BL0 and BLN0, also referred to as “bit lines”, to storage nodes SN1 and SN2 respectively, where the voltages at nodes SN1 and SN2 are inversely related. The pull-down EBE transistors 245 and 250 couple a power supply VSS, usually the ground voltage of the circuit, to the storage nodes SN1 and SN2 respectively. The pull-up EBE transistors 235 and 240 are typically PMOS transistors that couple the positive power supply VDDCOL0 to the storage nodes SN1 and SN2 respectively. The substrates of the NMOS transistors are connected to the ground voltage of the circuit, and the substrates for the PMOS transistors are connected to a power supply voltage VBPCOL0. SRAM cell 210 is similar, and includes a pair of pass-gate EBE transistors 255 and 260, a pair of pull-up EBE transistors 265 and 270, a pair of pull-down EBE transistors 275 and 280, storage nodes SN3 and SN4, bit lines BL1 and BLN1, and power supplies VDDCOL1 and VBPCOL1 (forward and reverse) body bias voltages required in different modules or circuit blocks in the SoC.


In FIG. 2, each column of the SRAM 200 includes a column power supply block that supplies the power supply voltage for the corresponding column. The column power supply block 215 supplies the power supply voltage VDDCOL0 and body bias voltage VBPCOL0, and the column power supply block 220 supplies the power supply voltage VDDCOL1 and body bias voltage VBPCOL1. Each column power supply block independently controls the power supply voltage and PMOS pull-up transistor body bias voltage supplied to each column such that each column can receive different power supply and body bias voltages. In addition, the column power supply block can provide different power supply voltages and body bias voltages to the same column at different times, or during different modes of operation. For example, as described in more detail below, the column power supply block can supply different power supply voltages and/or body bias voltages to the corresponding column during read and write operations.


The SRAM cell shown in FIG. 2 can retain its state indefinitely as long as the supplied power is sufficient to operate the cell correctly. The SRAM cell 205 includes two cross-coupled inverters consisting of the pair of transistors 235 and 245, and 240 and 250. The two inverters operate to reinforce the stored charge on storage nodes SN1 and SN2 continuously, such that the voltages at each of the two storage nodes are inverted with respect to one another. When SN1 is at a logical “1”, usually a high voltage, SN2 is at a logical “0”, usually a low voltage, and vice versa.


Referring to FIG. 2, a write operation can be performed to store data in a selected SRAM cell, and a read operation can be performed to access stored data in a selected SRAM cell. In one embodiment, data is stored in a selected SRAM cell, e.g. SRAM cell 205, during a write operation by placing complementary write data signals on the two bit lines BL0 and BLN0, and placing a positive voltage VWL on the word line WL connected to the gate of the pass-gate transistors 225 and 230, such that the two bits lines are coupled to the storage nodes SN1 and SN2 respectively. The write operation is successful when the write data signals on the two bit lines overcome the voltages on the two storage nodes and modify the state of the SRAM cell. The cell write is primarily due to the bit line driven low overpowering the PMOS pull-up transistor via the pass-gate transistor. Thus the relative strength ratio of the NMOS pass-gate transistor to the PMOS pull-up transistor (represented by the width to length ratio of these transistors) is important to maximizing the write margin. Data is accessed from a selected SRAM cell, e.g. SRAM cell 205, during a read operation by placing a positive voltage VWL on the word line WL such that the pass-gate transistors 225 and 230 allow the storage nodes SN1 and SN2 to be coupled to the bit lines BL0 and BLN0 respectively. During the read operation the SRAM cell 205 drives complementary read data signals onto the bit lines BL0 and BLN0. The differential voltage on the bit lines BL0 and BLN0 can be sensed using a differential sense amplifier (not shown) that senses and amplifies the differential voltage signal on the bit lines. The output of the sense amplifier is subsequently output as the read data for the selected SRAM cell.


It is noted that one or more of the cells of the SRAM 200 can include a plurality of word lines and bit lines, even though only one word line and two sets of bits lines have been shown in FIG. 2. Therefore, even though only two SRAM cells 205 and 210 are shown in FIG. 2, other SRAM cells (not shown) can be placed at intersections of the plurality of word lines and bit lines. In some embodiments, the SRAM 200 can have 8, 16, 32, 64, 128 or more columns that can be arranged in word widths of 8, 16, 32, 64, 128, 256, or more cells. In some embodiments, each column of the SRAM 200 has an associated column power supply block that independently controls the column power supply voltages provided to the corresponding column. In alternative embodiments, each column of the SRAM 200 can be sub-divided into column sub-groups, where each column sub-group has an associated column power supply block that independently controls the column power supply voltages provided to corresponding column subgroup. In certain other embodiments, one column power supply block can be associated with more than one column or column subgroup. In addition, power supply and body bias voltages other than the ones described above may be applied to the SRAM cells of SRAM 200 during read and write operations. Such power supply voltages can be selected based on the design of the SRAM cell, and the electrical characteristics of the EBE transistors used in the SRAM cell.


Embodiments of SRAM cells using EBE transistors for at least some of the transistors have enhanced performance characteristics as compared to SRAM cells using all conventional MOSFETs. Some of the reasons for the enhanced performance characteristics are (1) the EBE transistors have lower threshold voltage variation, i.e., lower σVT, and (2) the EBE transistors have higher Ieff and higher body coefficient. As a result, SRAM cells using EBE transistors can have (1) enhanced read stability that can be measured as enhanced read static noise margin, as well as lower SRAM minimum operating voltage VDDmin; (2) enhanced write margin; (3) faster SRAM operation resulting from lower read current variability; and (4) lower SRAM cell leakage resulting from lower σVT.



FIG. 3 shows an annotated SRAM cell that illustrates some of the EBE transistor characteristics that can provide an SRAM cell with enhanced performance characteristics. The EBE transistors can exhibit a higher current drive as compared to conventional transistors, when a low voltage is being applied to the gate and the drain to source voltage is less than VGS-VT of the transistor, i.e., such that the transistor is operating in the linear mode. This higher current drive is illustrated in FIG. 4, which shows the drain current as a function of the drain voltage for an EBE transistor, curve 305, and a conventional transistor, curve 310. As shown in FIG. 4, the EBE transistor drain current can be 1.5-2 times the drain current of the conventional transistor when the transistor is operating in the linear mode and reduced VGS, which may occur due to the circuit operating at reduced VDD. The drain to source voltage on NMOS pull-down transistors of the SRAM cell is diminished during a read operation (this voltage directly relates to the read SNM as described previously), and therefore, these transistors operate in the linear region during a read operation. This voltage VCN can be less than 0.2 V in certain embodiments. This voltage can be as low as 0.1 volts in certain alternative embodiments. The NMOS pass-gate transistor connected to the NMOS pull-down transistor is operating in saturation during the important portions of the read operation, and therefore, does not benefit from this enhanced current drive capability. However, the NMOS pass-gate transistor has an increased body bias voltage that results from the rise in the storage node voltage during the read operation. Therefore, the enhanced body coefficient of the EBE transistor results in a NMOS pass-gate transistor with reduced current drive capability. The combination of the enhanced drive capability of the pull-down transistor, and the reduced drive capability of the pass-gate transistor result in an increased read SNM and increased cell stability. This is evident qualitatively by the better voltage divider ratio obtained by weakening the pass-gate and strengthening the pull-down NMOS transistors, respectively. The increase in the read SNM and cell stability can be determined from butterfly curves obtained from SPICE simulations of the SRAM cell using EBE transistors.



FIG. 3 also shows that the SRAM cell using EBE transistors may not have a significant impact on the write ability of the SRAM cell when the bitline BL is driven to VSS because the pass-gate NMOS transistor has no body bias voltage under these conditions. However, during a write margin measurement the bitline BL is swept from VDD to VSS, and therefore, there is a body effect that has an impact on the measured write margin. In certain embodiments of the SRAM cell, the write margin is not a limitation as the read SNM can be the dominant limitation that determines the design of the SRAM cell. In addition, the lower variability of the threshold voltage of the EBE transistor, resulting from the lower σVT, results in higher worst case read current. The enhanced body coefficient of the EBE transistor can also be used to provide SRAM cells having a lower standby power, and better column level margin controls. Additional benefits of an SRAM cell using EBE transistors are discussed in pending U.S. patent application Ser. No. 13/471,353, filed May 14, 2012 and entitled INTEGRATED CIRCUIT DEVICES AND METHODS, the entirety of which disclosure is herein incorporated by reference. As discussed above, EBE transistors having a screening region have enhanced threshold voltage matching, in addition to having an enhanced body coefficient. Therefore, SRAMs using EBE transistors have reduced threshold voltage variations between the transistors used in different cells of the SRAM, as well as between the transistors used within a particular SRAM cell. An SRAM cell using EBE transistors also has increased read SNM and cell stability as a result of the reduced threshold voltage variations. Reduction of threshold voltage variation between the pass-gate transistors and the pull-down transistors within an SRAM cell contributes in part to the increase in read SNM. In addition, reduction in the threshold voltage variations of PMOS transistors in SRAM cells also contributes to the increase in read SNM, as well as less variability in write margin, i.e., an increase in worst-case as fabricated write margin.


Now turning to FIGS. 5A-8C, various methodologies for porting a source or existing SRAM cell using conventional transistors to a target SRAM cell using EBE transistors are described. In general, each of these process flows includes the following steps:

    • 1) The design layout data for an integrated circuit is obtained and the source SRAM cells to be converted for use with EBE transistors are identified or selected.
    • 2) The active area patterns (NMOS and PMOS) for these SRAM cells are extracted to form EBE active area layers or layouts (PMOS and NMOS). This involves removing these patterns from the corresponding conventional active areas or layouts. Thus, during subsequent processing to form conventional transistors, for instance, the EBE active areas in the SRAM are masked to prevent the introduction of additional dopants which would affect the EBE channel structure.
    • 3) The NMOS EBE active area is adjusted to provide at least one of the following:
      • a. A width of the EBE NMOS pull-down transistor that substantially matches the width of the EBE NMOS pass-gate transistor. For example, referring back to FIG. 2, the EBE NMOS active areas defining the widths of transistors 225, 230, 245, 250 are selected so that these transistors have the same width. In some embodiments, the resizing is based on reducing the width of the pull-down transistor, which is commonly larger in conventional SRAM cells, as discussed below.
      • b. A reducing in at least one lateral dimension of the SRAM cell.
    • 4) The gate layer is adjusted so that the length of the EBE PMOS pull-up transistors and the length of the EBE NMOS pull-down transistors are substantially the same. That is, referring back to FIG. 2, transistors 235, 240, 245, and 250 are selected so that these transistors have the same width. In some embodiments, this is done by reducing the length of the EBE PMOS pull-up transistors. In other embodiments, this is done by increasing the length of the EBE NMOS pull-down transistors.
    • 5) Based on some criteria for the electrical parameters for the EBE transistors, the new layout for the gate layer, and the locations for the EBE and conventional active regions, the process parameters for the EBE transistors and conventional transistors built on EBE active areas are selected.
    • 6) Appropriate masks can be produced and the integrated circuit can be formed.


      Various exemplary flows are illustrated below.



FIG. 5A is a flow diagram illustrating a method 500 for porting a source SRAM cell using conventional transistors to a target SRAM cell using EBE transistors, in accordance with a first embodiment. FIG. 5B is a layout 550 of a 6T SRAM cell using EBE transistors illustrating the transistor size modifications that are performed to obtain the target SRAM cell, in accordance with the method 500.


With reference to the method 500 of FIG. 5A, in step 505, the size of the transistors in the source SRAM cell that uses conventional transistors is determined. In step 510, an intermediate SRAM cell is designed using EBE transistors. In one embodiment, the intermediate SRAM cell is a drop in replacement for the source SRAM cell and uses EBE transistors of substantially the same size (i.e. substantially the same width and length) as the source SRAM cell. Since the intermediate SRAM cell as a drop-in replacement preserves the width and length of the EBE NMOS pull-down transistor and the EBE NMOS pass-gate transistor, the NMOS active area of the intermediate SRAM cell can include a jog or a notch resulting from differing widths for the NMOS pull-down transistor and the NMOS pass-gate transistor. Similarly, there can be a jog in the gate layer for the EBE NMOS pull-down transistor and the EBE PMOS pull-up transistor resulting from the differing lengths of these two transistors relative to each other in the source SRAM cell. These jogs or notches in the NMOS active area and the gate layer are illustrated in the SRAM cell layout 550 (FIG. 5B).


Referring again to method 500 (FIG. 5A), in step 515, the width of the EBE NMOS pull-down transistor is resized to substantially the same width as the EBE NMOS pass-gate transistor, where the resizing may include substantially eliminating the jog or notch in the NMOS active area. In step 520, the length of the EBE PMOS pull-up transistor is resized to substantially the same length as the EBE NMOS pull-down transistor, where the resizing may include substantially eliminating the jog or notch in the gate layer. The extent of resizing in steps 515 and 520 can depend on the process used to fabricate the source SRAM cell and the target SRAM cell, and the layout of the source SRAM cell. In certain embodiments, the resizing performed in steps 515 and 520 can be approximately in the range of 2-30 nm. In step 525, the NMOS EBE transistor threshold voltage VTN and the PMOS EBE transistor threshold voltage VTP are selected. In one embodiment, VTN and VTP for the transistors in the SRAM cell are substantially matched to the threshold voltages of the EBE NMOS and EBE PMOS transistors used in logic gates in the same integrated circuit. In an alternative embodiment, the threshold voltages VTN and VTP for the EBE transistors used in the SRAM cell are set to provide enhanced and preselected performance characteristics for the target SRAM cell, such as, read margin, write margin, and cell leakage current. In step 530, EBE transistor process parameters to result in the selected VTN and VTP (step 525) are determined. Such process parameters can include one or a combination of the thickness of the blanket epitaxial layer, the position of the screening region, the position of the threshold voltage tuning region, and/or the dopant concentration of the threshold voltage tuning region or screening region or both.


With reference to FIG. 5B, the layout 550 corresponds to the intermediate SRAM cell using EBE transistors resulting from step 510 (FIG. 5A), in accordance with one embodiment. The annotations in FIG. 5B illustrate the resizing of the EBE transistors in the intermediate SRAM cell that are performed to substantially reduce or eliminate the jogs or notches in the NMOS active area and the gate layer, in accordance with the first embodiment. In layout 550, the width of the EBE NMOS pull-down transistor is reduced to substantially the same width as the EBE NMOS pass-gate transistor by moving the edge 560 of the diffusion region such that it is substantially aligned with the edge 555, as shown in FIG. 5B. In addition, the length of the EBE PMOS pull-up transistor is reduced to substantially the same length as the EBE NMOS pull-down transistor by moving the edge 570 of the gate layer such that it is substantially aligned with the edge 565, as shown in FIG. 5B. As a result of these changes the diffusion region between the gate of the EBE NMOS pass-gate transistor (labeled NPG in FIG. 5B) and the gate of the EBE NMOS pull-down transistor (labeled NPD in FIG. 5B) is substantially rectangular in the layout 550 of the target SRAM cell, and the edges of this rectangular region are substantially aligned with the NPD and NPG areas. In addition, the gate layer extending between areas PPU and NPD (corresponding to the gates of the EBE PMOS pull-up and the EBE NMOS pull-down transistors, respectively) is substantially rectangular for the target SRAM cell, and the edges of this rectangular gate layer are substantially aligned with the edges of the PPU and NPD areas. It is noted that even though these changes are illustrated with respect to one of the NMOS active area and one of the gates in FIG. 5B, the corresponding changes can also be made to the other NMOS active area 580 and the gate layer 575 to obtain a target SRAM cell that has substantially no jogs or notches in the NMOS active area and the gate layer.



FIG. 6A is a flow diagram illustrating a method 600 for porting a source SRAM cell using conventional transistors to a target SRAM cell using EBE transistors, in accordance with a second embodiment. FIG. 6B is a layout 650 of a 6T SRAM cell using EBE transistors illustrating the transistor size modifications that are performed to obtain the target SRAM cell, in accordance with the method 600.


With reference to the method 600 of FIG. 6A, in step 605 the size of the transistors in the source SRAM cell that uses conventional transistors is determined. In step 610, an intermediate SRAM cell is designed using EBE transistors. In one embodiment, the intermediate SRAM cell is a drop in replacement for the source SRAM cell and uses EBE transistors of substantially the same size (i.e. substantially the same width and length) as the source SRAM cell. Since the intermediate SRAM cell as a drop-in replacement preserves the width and length of the EBE NMOS pull-down transistor and the EBE NMOS pass-gate transistor, the NMOS active area of the intermediate SRAM cell can include a jog or a notch resulting from differing widths for the NMOS pull-down transistor and the NMOS pass-gate transistor. Similarly, there can be a jog or notch in the gate layer for the EBE NMOS pull-down transistor and the EBE PMOS pull-up transistor resulting from differing lengths of these two transistors in the source SRAM cell. These jogs or notches in the NMOS active area and the gate layer are illustrated in the SRAM cell layout 650 (FIG. 6B).


Referring again to method 600 (FIG. 6A), in step 615, the width of the EBE NMOS pull-down transistor is resized to substantially the same width as the EBE NMOS pass-gate transistor, to substantially eliminate the jog or notch in the NMOS active area. In step 620, the length of the EBE PMOS pull-up transistor is resized to be substantially the same length as the EBE NMOS pull-down transistor, which may result in substantially eliminating the jog in the gate layer. In step 625, the width of the NMOS active area is reduced, thereby reducing the width of both the EBE NMOS pull-down and pass-gate transistors. This results in a reduction of the overall width of the SRAM cell. For example, if the width of the NMOS active area is reduced by 10 nm, then the width of the SRAM cell is reduced by 20 nm as a result of the reduced width of the two NMOS active areas in the SRAM cell. The extent of resizing in steps 615, 620, and 625 can depend on the process used to fabricate the source SRAM cell and the target SRAM cell, and the layout of the source SRAM cell. In certain embodiments, the resizing performed in steps 615, 620, and 625 can be approximately in the range of 2-30 nm. In step 630, the NMOS EBE transistor threshold voltage VTN and the PMOS EBE transistor threshold voltage VTP are selected. In one embodiment, VTN and VTP for the transistors in the SRAM cell are selected to substantially match the threshold voltages of the EBE NMOS and EBE PMOS transistors used in logic gates in the same integrated circuit. In an alternative embodiment, the threshold voltages VTN and VTP for the EBE transistors used in the SRAM cell are set to provide enhanced and preselected performance characteristics for the target SRAM cell, such as, read margin, write margin, and cell leakage current. In step 635, EBE transistor process parameters for the selected VTN and VTP (selected in step 630) are determined. Such process parameters can include one or a combination of the thickness of the blanket epitaxial layer, the position of the screening region, the position of the threshold voltage tuning region, and/or the dopant concentration of the threshold voltage tuning region or screening region or both.


With reference to FIG. 6B, the layout 650 corresponds to the intermediate SRAM cell using EBE transistors resulting from step 610, in accordance with one embodiment. The annotations in FIG. 6B illustrate the resizing of the EBE transistors in the intermediate SRAM cell that are performed to obtain the target SRAM cell, in accordance with the second embodiment. In layout 650, the width of the EBE NMOS pull-down transistor is reduced to substantially the same width as the EBE NMOS pass-gate transistor by moving the edge 660 of the diffusion region such that it is substantially aligned with the edge 655, as shown in FIG. 6B. Also, the length of the EBE PMOS pull-up transistor is reduced to substantially the same length as the EBE NMOS pull-down transistor by moving the edge 670 of the gate layer such that it is substantially aligned with the edge 665, as shown in FIG. 6B. In addition to these changes, the width of the NMOS active area is reduced by reducing the width of the diffusion region to reduce the width of the SRAM cell, as shown in FIG. 6B. As a result of these changes, the diffusion region between the gate of the EBE NMOS pass-gate transistor (labeled NPG in FIG. 6B) and the gate of the EBE NMOS pull-down transistor (labeled NPD in FIG. 6B) is substantially rectangular for the target SRAM cell, and the edges of this rectangular region are substantially aligned with the edges of the NPG and NPD areas. In addition, the gate layer extending between the areas PPU and NPD (corresponding to the gates of the EBE PMOS pull-up and the EBE NMOS pull-down transistors, respectively) is substantially rectangular for the target SRAM cell, and the edges of this rectangular gate layer are substantially aligned with the edges of the PPU and NPD areas. It is noted that even though these changes are illustrated with respect to one of the NMOS active area and one of the gate layers in FIG. 6B, the corresponding changes can also be made to the other NMOS active area 675 and the gate layer 680 to obtain a target SRAM cell that has substantially no jogs or notches in the NMOS active area and the gate layer. In one embodiment, where the NMOS active area width is reduced by 10 nm, the target SRAM cell using EBE transistors has an overall width that is reduced by 20 nm compared to the source SRAM cell from which the EBE transistor-based SRAM cell is derived.



FIG. 7A is a flow diagram illustrating a method 700 for porting a source SRAM cell using conventional transistors to a target SRAM cell using EBE transistors, in accordance with a third embodiment. FIG. 7B is a layout 750 of a 6T SRAM cell using EBE transistors illustrating the transistor size modifications that are performed to obtain the target SRAM cell, in accordance with the method 700.


With reference to the method 700 of FIG. 7A, in step 705, the size of the transistors in the source SRAM cell that uses conventional transistors is determined. In step 710, an intermediate SRAM cell is designed using EBE transistors. In one embodiment, the intermediate SRAM cell is a drop in replacement for the source SRAM cell and uses EBE transistors of substantially the same size (i.e. substantially the same width and length) as the source SRAM cell. Since the intermediate SRAM cell as a drop-in replacement preserves the width and length of the EBE NMOS pull-down transistor and the EBE NMOS pass-gate transistor, the NMOS active area of the intermediate SRAM cell can have a jog or notch resulting from differing widths for the NMOS pull-down transistor and the NMOS pass-gate transistor. Similarly, there can be a jog or notch in the gate layer for the EBE NMOS pull-down transistor and the EBE PMOS pull-up transistor resulting from differing lengths of these two transistors in the source SRAM cell. These jogs or notches in the NMOS active area and the gate layer are illustrated in the SRAM cell layout 750 (FIG. 7B).


Referring again to method 700 (FIG. 7A), in step 715, the length of the EBE NMOS pull-down transistor is resized to substantially the same length as the EBE PMOS pull-up transistor, where the resizing may include substantially eliminating the jog or notch in the gate layer. In step 720, the width of the NMOS active area is reduced thereby reducing the width of both the EBE NMOS pull-down and pass-gate transistors. This results in a reduction of the overall width of the SRAM cell. For example, if the width of the NMOS active area is reduced by 20 nm, then the width of the SRAM cell is reduced by 40 nm as a result of the reduced width of the two NMOS active areas in the SRAM cell. The extent of resizing in steps 715, and 720 can depend on the process used to fabricate the source SRAM cell and the target SRAM cell, and the layout of the source SRAM cell. In certain embodiments, the resizing performed in steps 715 and 720 can be approximately in the range of 2-30 nm. In step 725, the NMOS EBE transistor threshold voltage VTN and the PMOS EBE transistor threshold voltage VTP are selected. In one embodiment, VTN and VTP for the transistors in the SRAM cell are selected to substantially match the threshold voltages of the EBE NMOS and EBE PMOS transistors used in logic gates in the same integrated circuit. In an alternative embodiment, the threshold voltages VTN and VTP for the EBE transistors used in the SRAM cell are set to provide enhanced and preselected performance characteristics for the target SRAM cell, such as, read margin, write margin, and cell leakage current. In step 730, EBE transistor design parameters to obtain the VTN and VTP are determined. Such process parameters can include the thickness of the blanket epitaxial layer, the position of the screening region, the position of the threshold voltage tuning region, and/or the dopant concentration of the threshold voltage tuning region.


With reference to FIG. 7B, the layout 750 corresponds to the intermediate SRAM cell using EBE transistors resulting from step 710, in accordance with one embodiment. The annotations in FIG. 7B illustrate the resizing of the EBE transistors in the intermediate SRAM cell that are performed to obtain the target SRAM cell, in accordance with the third embodiment. In layout 750, the length of the EBE NMOS pull-down transistor is increased to substantially the same length as the EBE PMOS pull-up transistor by moving the edge 765 of the gate layer such that it is substantially aligned with the edge 770, as shown in FIG. 7B. In addition to these changes, the width of the NMOS active area is reduced by reducing the width of the diffusion region to reduce the overall width of the SRAM cell, as shown in FIG. 7B. As a result of these changes the gate layer between areas PPU and NPD (corresponding to the gates of the EBE PMOS pull-up and the EBE NMOS pull-down transistors, respectively) is substantially rectangular for the target SRAM cell, and the edges of this rectangular gate layer are substantially aligned with the edges of the PPU and NPD areas. It is noted that even though these changes are illustrated with respect to one of the NMOS active area and one of the gate layers in FIG. 7B, the corresponding changes can also be made to the other NMOS active area 775 and the gate layer 780 to obtain a target SRAM cell that has substantially no jogs or notches in the gate layer. In one embodiment, where the NMOS active area width is reduced by 10 nm, the target SRAM cell using EBE transistors has a width that is reduced by 20 nm.



FIG. 8A is a flow diagram illustrating a method 800 for porting a source SRAM cell using conventional transistors to a target SRAM cell using EBE transistors, in accordance with a first embodiment. FIG. 8B is a layout 850 of a 6T SRAM cell using EBE transistors illustrating the transistor size modifications that are performed to obtain the target SRAM cell, in accordance with the method 800. FIG. 8C is a layout 890 of the target SRAM cell obtained as a result of method 800.


With reference to the method 800 of FIG. 8A, in step 805, the size of the transistors in the source SRAM cell that uses conventional transistors is determined. In step 810, an intermediate SRAM cell is designed using EBE transistors. In one embodiment, the intermediate SRAM cell is a drop in replacement for the source SRAM cell and uses EBE transistors of substantially the same size (i.e. substantially the same width and length) as the source SRAM cell. Since the intermediate SRAM cell as a drop in replacement preserves the width and length of the EBE NMOS pull-down transistor and the EBE NMOS pass-gate transistor, the NMOS active area of the intermediate SRAM cell can have a jog or a notch resulting from differing widths for the NMOS pull-down transistor and the NMOS pass-gate transistor. Similarly, there can be a jog or notch in the gate layer for the EBE NMOS pull-down transistor and the EBE PMOS pull-up transistor resulting from differing lengths of these two transistors in the source SRAM cell. These jogs or notches in the NMOS active area and the gate layer are illustrated in the SRAM cell layout 850 (FIG. 8B).


Referring again to method 800 (FIG. 8A), in step 815, the width of the EBE NMOS pull-down transistor is resized to substantially the same width as the EBE NMOS pass-gate transistor, where the resizing may substantially eliminate the jog or notch in the NMOS active area. In step 820, the length of the EBE NMOS pull-down transistor is resized to substantially the same length as the EBE PMOS pull-up transistor, where the resizing may substantially eliminate the jog in the gate layer. The extent of resizing in steps 815 and 820 can depend on the process used to fabricate the source SRAM cell and the target SRAM cell, and the layout of the source SRAM cell. In certain embodiments, the resizing performed in steps 815 and 820 can be approximately in the range of 2-30 nm. In step 825, the NMOS EBE transistor threshold voltage VTN and the PMOS EBE transistor threshold voltage are selected. In one embodiment, the threshold voltage of the EBE NMOS and EBE PMOS transistors in the SRAM cell are selected to have substantially the same value as the corresponding EBE NMOS and EBE PMOS transistors used in logic gates in the same integrated circuit. In an alternative embodiment, the threshold voltages VTN and VTP for the EBE transistors used in the SRAM cell are set to provide enhanced and preselected performance characteristics for the target SRAM cell, such as, read margin, write margin, and cell leakage current. In step 830, EBE transistor process parameters to result in the selected VTN and VTP are determined. Such process parameters can include the thickness of the blanket epitaxial layer, the position of the screening region, the position of the threshold voltage tuning region, and/or the dopant concentration of the threshold voltage tuning region.


With reference to FIG. 8B, the layout 850 corresponds to the intermediate SRAM cell using EBE transistors resulting from step 810, in accordance with one embodiment. The annotations in FIG. 8B illustrate the resizing of the EBE transistors in the intermediate SRAM cell that are performed to obtain the target SRAM cell, in accordance with the fourth embodiment. In layout 850, the width of the EBE NMOS pull-down transistor is reduced to substantially the same width as the EBE NMOS pass-gate transistor by moving the edge 860 of the diffusion region such that it is substantially aligned with the edge 855, as shown in FIG. 8B. In addition, the length of the EBE NMOS pull-down transistor is increased to substantially the same length as the EBE PMOS pull-up transistor by moving the edge 865 of the gate layer such that it is substantially aligned with the edge 870, as shown in FIG. 8B. As a result of these changes the diffusion region between the gate of the EBE NMOS pass-gate transistor (labeled NPG in FIG. 8B) and the gate of the EBE NMOS pull-down transistor (labeled NPD in FIG. 8B) is substantially rectangular for the target SRAM cell, and the edges of this rectangular region are substantially aligned with the edges of the NPG and NPD areas. In addition, the gate layer between area PPU and NPD (corresponding to the gates of the EBE PMOS pull-up and EBE NMOS pull-down transistors, respectively) is substantially rectangular for the target SRAM cell, and the edges of this rectangular gate layer are substantially aligned with the edges of the PPU and NPD areas. It is noted that even though these changes are illustrated with respect to one of the NMOS active areas and one of the gate layers in FIG. 8B, the corresponding changes can also be made to the other NMOS active area 875 and the gate layer 880 to obtain a target SRAM cell that has substantially no jogs or notches in the NMOS active area and the gate layer.



FIG. 8C illustrates the layout 890 of the target SRAM cell after the resizing described above with reference to FIG. 8A and FIG. 8B, in accordance with one embodiment.


Table I lists the enhanced performance characteristics of the four target SRAM cell embodiments using EBE transistors described above as compared to a source SRAM cell using conventional transistors. Table I provides the performance characteristics for six types of SRAM cells—(1) a SRAM cell using conventional transistors; (2) an intermediate SRAM cell obtained as a result of replacing each conventional transistor with an EBE transistor of substantially similar width and length; (3) a SRAM cell in accordance with the first embodiment discussed with reference to FIGS. 5A-C above; (4) a SRAM cell in accordance with the second embodiment discussed with reference to FIGS. 6A, 6B above; (5) a SRAM cell in accordance with the third embodiment discussed with reference to FIGS. 7A, 7B above; and (6) a SRAM cell in accordance with the fourth embodiment discussed with reference to FIGS. 8A-C above. The SRAM performance characteristics listed in Table I were obtained from Monte Carlo simulations performed with 1000 trials at a power supply voltage or 1.2 V, and at a temperature of 25° C. The values of VTN and VTP were 0.608 V and −0.761 V, respectively, for the SRAM cell using conventional transistors. The values of VTN and VTP were 0.488 V and −0.481 V, respectively, for the intermediate SRAM cell, and the four SRAM cell embodiments using EBE transistors. Each cell of Table I has three numbers with the first number corresponding to −5σ, the second number corresponding to the mean, and the third number corresponding to +5σ, respectively.















TABLE I






Read
Read
Write

Cell
Cell


SRAM Cell
Current
Margin
Margin
Vddmin
Leakage
Area


Type
(μA)
(mV)
(mV)
(V)
(pA)
(μm2)





















Source
20.47
25
269
730
0
No


(conventional
31.70
183
494

55.20
Change


transistors)
42.87
341
719

226.2



Intermediate
33.97
105
460
410
0
No


(EBE)
37.20
147
510

101
Change



40.45
189
560

212



First
32.60
97.5
457
390
0
No


Embodiment
35.70
141
507

103
Change



38.80
185
557

218.5



Second
29.75
97
448
390
0
~2%


Embodiment
32.70
142
503

105
reduction



35.65
187
558

229



Third
27.65
105
269
410
0
~4%


Embodiment
30.50
150
494

84.1
reduction



33.35
195
719

182.1



Fourth
31.80
96
269
400
0
No


Embodiment
34.80
139
494

81.1
Change



37.80
182
719

168.6









Table II illustrates the enhanced performance characteristics of the four target SRAM cell embodiments using EBE transistors after setting VTN and VTP, as described above. Note that the tradeoffs, for instance, for Vddmin and Cell Leakage depend on the specifications to support the application for the SRAM. Table II provides SRAM performance characteristics for the six types of SRAM cells listed in Table II after the determination of VTN and VTP. The SRAM performance characteristics listed in Table I were obtained from Monte Carlo simulations performed with 1000 trials at a power supply voltage or 1.2 V, and at a temperature of 25° C. The values of VTN are in the range of 0.538 V to 0.558 V, and the values of VTP are in the range of −0.381 V to −0.361 V for the intermediate SRAM cell, and the four SRAM cell embodiments using EBE transistors. The values of VTN and VTP were 0.608 V and −0.761 V, respectively, for the SRAM cell using conventional transistors.















TABLE II






Read
Read
Write

Cell
Cell


SRAM Cell
Current
Margin
Margin
Vddmin
Leakage
Area


Type
(μA)
(mV)
(mV)
(V)
(pA)
(μm2)





















Source
20.47
25
269
730
0
0.5408


(conventional
31.70
183
494

55.20



transistors)
42.87
341
719

226.2



Intermediate
28.30
174
357
570
0
No


(EBE)
31.21
216
412

50.1
Change



34.14
258
467

140.1



First
27.04
165
348
560
0
No


Embodiment
29.98
208
402

49.1
Change



32.93
251
457

133.1



Second
24.81
164
346
560
0
~2%


Embodiment
27.51
208
401

50.1
reduction



30.21
252
456

140.1



Third
24.30
159
361
530
0
~4%


Embodiment
27.00
203
416

55.8
reduction



29.70
247
471

145.3



Fourth
26.43
167
365
540
0
No


Embodiment
29.18
208
415

48
Change



31.93
250
465

136









The SRAM cell embodiments and related methods described above can also be applied to hybrid target SRAM cells that use both EBE transistors and legacy transistors. For example, such hybrid target SRAM cell embodiments can use conventional PMOS pull-up transistors, and EBE NMOS pass-gate and pull-down transistors.


Together, the structures and methods of making the structures allow for EBE transistors having an enhanced body coefficient as compared to conventional nanoscale devices. With body bias voltage applied to the screening region, the EBE transistor can facilitate an even greater control over a wider range of device metrics, such as ON-current and OFF-current, compared to a conventional device. In addition, the EBE transistors have a better AVT, i.e., a lower σVT than conventional devices. The lower σVT enables a lower minimum operating voltage VDD and a wider range of available and reliable nominal values of VT. As will be understood, wafers and die supporting multiple transistor types, including those with and without the described dopant layers and structures are contemplated. Electronic devices that include the disclosed transistor structures or are manufactured in accordance with the disclosed processes can incorporate die configured to operate as “systems on a chip” (SoC), advanced microprocessors, radio frequency, memory, and other die with one or more digital and analog transistor configurations, and are capable of supporting a wide range of applications, including wireless telephones, communication devices, “smart phones”, embedded computers, portable computers, personal computers, servers, and other electronic devices. Electronic devices can optionally include both conventional transistors and transistors as disclosed, either on the same die or connected to other die via motherboard, electrical or optical interconnect, stacking or through used of 3D wafer bonding or packaging. According to the methods and processes discussed herein, a system having a variety of combinations of analog and/or digital transistor devices, channel lengths, and strain or other structures can be produced.


While various embodiments of the present invention have been described above, it should be understood that they have been presented by way of example only, and not limitation. Numerous changes to the disclosed embodiments can be made in accordance with the disclosure herein without departing from the spirit or scope of the invention. Thus, the breadth and scope of the present invention should not be limited by any of the above described embodiments. Rather, the scope of the invention should be defined in accordance with the following claims and their equivalents.


Although the invention has been illustrated and described with respect to one or more implementations, equivalent alterations and modifications will occur to others skilled in the art upon the reading and understanding of this specification and the annexed drawings. In addition, while a particular feature of the invention may have been disclosed with respect to only one of several implementations, such feature may be combined with one or more other features of the other implementations as may be desired and advantageous for any given or particular application.


The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. Furthermore, to the extent that the terms “including”, “includes”, “having”, “has”, “with”, or variants thereof are used in either the detailed description and/or the claims, such terms are intended to be inclusive in a manner similar to the term “comprising.”


Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.

Claims
  • 1. A method for fabricating an integrated circuit, comprising: extracting, from design layout data for the integrated circuit, active area patterns associated with the least one SRAM cell in the integrated circuit to be defined using an enhanced body effect (EBE) NMOS active area layout and an EBE PMOS active area layout, the design layout including at least one notch;adjusting a size of the active area patterns in the EBE NMOS active area layout to reduce a width of at least pull-down devices in the at least one SRAM cell;altering a gate layer layout in the design layout data such that a length of pull-up devices in the at least one SRAM and a length of the pull-down devices are substantially equal; andafter the adjusting and altering, forming the EBE NMOS active areas, EBE PMOS active areas, and the gate layer on a substrate based on the EBE NMOS active area layout, the EBE PMOS active area layout, and the gate layer layout, the forming comprising selecting the EBE NMOS active areas and the EBE PMOS active areas to comprise a substantially undoped channel layer and a highly doped screening region beneath the channel layer.
  • 2. The method of claim 1, further comprising: prior to said forming, selecting process parameters such that electrical parameters for transistors in the at least one SRAM cell meet a criteria, the selection of the process parameters based at least on the EBE NMOS active area layout, the EBE PMOS active area layout, and the gate layer layout.
  • 3. The method of claim 1, wherein the step of adjusting comprises resizing a portion of the EBE NMOS active area layout so that a width of the pull-down devices and a width of pass-gate devices in the at least one SRAM cell are substantially equal.
  • 4. The method of claim 3, wherein the resizing comprises reducing the width of the pull-down devices and removing notches from the existing design layout.
  • 5. The method of claim 1, wherein the step of altering comprises resizing the gate layer layout for the pull-up devices and removing notches from the existing design layout.
  • 6. The method of claim 1, wherein the step of adjusting further comprises resizing the EBE NMOS active areas so that at least one overall dimension of the at least one SRAM cell is reduced.
  • 7. The method of claim 1, wherein the reducing comprises reducing a width of the pull-down devices and a width of pass-gate devices in the at least one SRAM cell.
  • 8. The method of claim 1, wherein the step of altering comprises resizing the gate layer layout for the pull-down devices and removing notches from the existing design layout.
  • 9. A method for producing an integrated circuit mask, comprising: converting a base integrated circuit design layout with at least one SRAM cell to a layout utilizing enhanced body effect (EBE) transistors, with the EBE transistors comprising a substantially undoped channel layer and a highly doped screening region beneath the channel layer with the conversion module;dividing at NMOS and PMOS active area patterns in the design layout into at least one enhanced body effect EBE active area layout with the at NMOS and PMOS active area patterns for the at least one SRAM;adjusting a size of the NMOS active area patterns in the EBE NMOS active area layout to reduce a width of at least pull-down devices in the at least one SRAM cell;altering a gate layer layout in the design layout data such that a length of pull-up devices in the at least one SRAM and a length of the pull-down devices are substantially equal; andafter the adjusting and the altering, forming the integrated circuit mask comprising the NMOS and PMOS active area patterns and the gate layer layout.
  • 10. The method of claim 9, further comprising: after the altering and adjusting, selecting process parameters such that electrical parameters for transistors in the at least one SRAM cell meet a criteria, the selection of the process parameters based at least on the EBE NMOS active area layout, the EBE PMOS active area layout, and the gate layer layout.
  • 11. The method of claim 9, wherein the step of adjusting comprises resizing a portion of the EBE NMOS active area layout associated with the pull-down devices so that a width of the pull-down devices and a width of pass-gate devices in the at least one SRAM cell are substantially equal, wherein the step of altering comprises resizing the gate layer layout for the pull-up devices.
  • 12. The method of claim 9, wherein the step of adjusting comprises resizing a portion of the EBE NMOS active area layout associated with the pull-down devices so that a width of the pull-down devices and a width of pass-gate devices in the at least one SRAM cell are substantially equal, wherein the step of altering comprises resizing the gate layer layout for the pull-up devices, and wherein the step of adjusting further comprises reducing a width of the EBE NMOS active areas so that at least one overall dimension of the at least one SRAM cell is reduced.
  • 13. The method of claim 9, wherein the step of altering comprises resizing the gate layer layout for the pull-down devices, and wherein the step of adjust comprises reducing widths of the pulldown devices and pass-gate devices in the at least one SRAM cell so that one overall dimension of the at least one SRAM cell is reduced.
  • 14. A method for providing a layout design of an integrated circuit, comprising: obtaining design layout data for a base integrated circuit;selecting at least one SRAM cell in the base integrated circuit to utilize enhanced body effect (EBE) transistors comprising a substantially undoped channel layer and a highly doped screening region beneath the channel layer;extracting, from the design layout, NMOS active area patterns and PMOS active area patterns associated with the least one SRAM cell to define an EBE NMOS active area layout and a EBE PMOS active area layout;adjusting the EBE NMOS active area layout to reduce a width of at least pull-down devices in the at least one SRAM cell; andaltering a gate layer layout in the design layout data such that a length of pull-up devices in the at least one SRAM and a length of the pull-down devices are substantially equal;after the adjusting and the altering, forming the layout design of an integrated circuit comprising the EBE NMOS active area layout, the EBE PMOS active area layout and the gate layer layout.
  • 15. The method of claim 14, wherein the step of adjusting comprises resizing a portion of the EBE NMOS active area layout so that a width of the pull-down devices and a width of pass-gate devices in the at least one SRAM cell are substantially equal.
  • 16. The method of claim 14, wherein the step of adjusting further comprises resizing of the NMOS active area patterns so that at least one overall dimension of the at least one SRAM cell is reduced.
  • 17. The method of claim 14, further comprising the step of resizing the gate layer layout for the pulldown devices.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional of U.S. Non-Provisional patent application Ser. No. 13/776,917, filed Feb. 26, 2013 and entitled “SRAM Cell Layout Structure and Devices Therefrom”, which claims priority to U.S. Provisional Application No. 61/615,166, entitled “Improved SRAM Cell Layout Structure and Related Methods”, filed Mar. 23, 2012, the contents of both of which are hereby incorporated by reference in their entirety.

US Referenced Citations (393)
Number Name Date Kind
3958266 Athanas May 1976 A
4000504 Berger Dec 1976 A
4021835 Etoh et al. May 1977 A
4242691 Kotani et al. Dec 1980 A
4276095 Beilstein, Jr. et al. Jun 1981 A
4315781 Henderson Feb 1982 A
4518926 Swanson May 1985 A
4578128 Mundt et al. Mar 1986 A
4617066 Vasudev Oct 1986 A
4761384 Neppl et al. Aug 1988 A
4780748 Cunningham et al. Oct 1988 A
4819043 Yazawa et al. Apr 1989 A
4885477 Bird et al. Dec 1989 A
4908681 Nishida et al. Mar 1990 A
5034337 Mosher et al. Jul 1991 A
5144378 Hikosaka Sep 1992 A
5156989 Williams et al. Oct 1992 A
5156990 Mitchell Oct 1992 A
5166765 Lee et al. Nov 1992 A
5208473 Komori et al. May 1993 A
5294821 Iwamatsu Mar 1994 A
5298763 Shen et al. Mar 1994 A
5369288 Usuki Nov 1994 A
5373186 Schubert et al. Dec 1994 A
5384476 Nishizawa et al. Jan 1995 A
5426328 Yilmaz et al. Jun 1995 A
5444008 Han et al. Aug 1995 A
5559368 Hu et al. Sep 1996 A
5608253 Liu et al. Mar 1997 A
5622880 Burr et al. Apr 1997 A
5625568 Edwards et al. Apr 1997 A
5641980 Yamaguchi et al. Jun 1997 A
5663583 Matloubian et al. Sep 1997 A
5712501 Davies et al. Jan 1998 A
5719422 Burr et al. Feb 1998 A
5726488 Watanabe et al. Mar 1998 A
5726562 Mizuno Mar 1998 A
5754826 Gamal et al. May 1998 A
5756365 Kakumu May 1998 A
5763921 Okumura et al. Jun 1998 A
5780899 Hu et al. Jul 1998 A
5847419 Imai et al. Dec 1998 A
5856003 Chiu Jan 1999 A
5861334 Rho Jan 1999 A
5877049 Liu et al. Mar 1999 A
5889315 Farrenkopf et al. Mar 1999 A
5895954 Yasumura et al. Apr 1999 A
5899714 Farremkopf et al. May 1999 A
5923067 Voldman Jul 1999 A
5923987 Burr Jul 1999 A
5936868 Hall Aug 1999 A
5946214 Heavlin et al. Aug 1999 A
5985705 Seliskar Nov 1999 A
5989963 Luning et al. Nov 1999 A
6020227 Bulucea Feb 2000 A
6066533 Yu May 2000 A
6072217 Burr Jun 2000 A
6087210 Sohn Jul 2000 A
6087691 Hamamoto Jul 2000 A
6088518 Hsu Jul 2000 A
6091286 Blauschild Jul 2000 A
6096611 Wu Aug 2000 A
6103562 Son et al. Aug 2000 A
6121153 Kikkawa Sep 2000 A
6147383 Kuroda Nov 2000 A
6157073 Lehongres Dec 2000 A
6175582 Naito et al. Jan 2001 B1
6184112 Maszara et al. Feb 2001 B1
6190979 Radens et al. Feb 2001 B1
6194259 Nayak et al. Feb 2001 B1
6218892 Soumyanath et al. Apr 2001 B1
6218895 De et al. Apr 2001 B1
6221724 Yu et al. Apr 2001 B1
6229188 Aoki et al. May 2001 B1
6232164 Tsai et al. May 2001 B1
6245618 An et al. Jun 2001 B1
6271070 Kotani et al. Aug 2001 B2
6271551 Schmitz et al. Aug 2001 B1
6288429 Iwata et al. Sep 2001 B1
6297132 Zhang et al. Oct 2001 B1
6300177 Sundaresan et al. Oct 2001 B1
6313489 Letavic et al. Nov 2001 B1
6320222 Forbes et al. Nov 2001 B1
6326666 Bernstein et al. Dec 2001 B1
6358806 Puchner Mar 2002 B1
6380019 Yu et al. Apr 2002 B1
6391752 Colinge et al. May 2002 B1
6426279 Huster et al. Jul 2002 B1
6432754 Assaderaghi et al. Aug 2002 B1
6444550 Hao et al. Sep 2002 B1
6444551 Ku et al. Sep 2002 B1
6449749 Stine Sep 2002 B1
6461920 Shirahata et al. Oct 2002 B1
6461928 Rodder Oct 2002 B2
6472278 Marshall et al. Oct 2002 B1
6482714 Hieda et al. Nov 2002 B1
6489224 Burr Dec 2002 B1
6492232 Tang et al. Dec 2002 B1
6500739 Wang et al. Dec 2002 B1
6503801 Rouse et al. Jan 2003 B1
6503805 Wang et al. Jan 2003 B2
6506640 Ishida et al. Jan 2003 B1
6518623 Oda et al. Feb 2003 B1
6534373 Yu Mar 2003 B1
6541328 Whang et al. Apr 2003 B2
6541829 Nishinohara et al. Apr 2003 B2
6548842 Bulucea et al. Apr 2003 B1
6551885 Yu Apr 2003 B1
6552377 Yu Apr 2003 B1
6573129 Hoke et al. Jun 2003 B2
6600200 Lustig et al. Jul 2003 B1
6620671 Wang et al. Sep 2003 B1
6624488 Kim Sep 2003 B1
6627473 Oikawa et al. Sep 2003 B1
6630710 Augusto Oct 2003 B1
6660605 Liu Dec 2003 B1
6662350 Fried et al. Dec 2003 B2
6667200 Sohn et al. Dec 2003 B2
6670260 Yu et al. Dec 2003 B1
6693333 Yu Feb 2004 B1
6730568 Sohn May 2004 B2
6737724 Hieda et al. May 2004 B2
6743291 Ang et al. Jun 2004 B2
6743684 Liu Jun 2004 B2
6751519 Satya et al. Jun 2004 B1
6753230 Sohn et al. Jun 2004 B2
6760900 Rategh et al. Jul 2004 B2
6770944 Nishinohara et al. Aug 2004 B2
6787424 Yu Sep 2004 B1
6797553 Adkisson et al. Sep 2004 B2
6797994 Hoke et al. Sep 2004 B1
6808004 Kamm et al. Oct 2004 B2
6808994 Wang Oct 2004 B1
6813750 Usami et al. Nov 2004 B2
6821825 Todd et al. Nov 2004 B2
6822297 Nandakumar et al. Nov 2004 B2
6831292 Currie et al. Dec 2004 B2
6835639 Rotondaro et al. Dec 2004 B2
6881641 Wieczorek et al. Apr 2005 B2
6881987 Sohn Apr 2005 B2
6891439 Jachne et al. May 2005 B2
6893947 Martinez et al. May 2005 B2
6901564 Stine et al. May 2005 B2
6916698 Mocuta et al. Jul 2005 B2
6917237 Tschanz et al. Jul 2005 B1
6928128 Sidiropoulos Aug 2005 B1
6930007 Bu et al. Aug 2005 B2
6930360 Yamauchi et al. Aug 2005 B2
6957163 Ando Oct 2005 B2
6963090 Passlack et al. Nov 2005 B2
6995397 Yamashita et al. Feb 2006 B2
7002214 Boyd et al. Feb 2006 B1
7008836 Algotsson et al. Mar 2006 B2
7013359 Li Mar 2006 B1
7015546 Herr et al. Mar 2006 B2
7015741 Tschanz et al. Mar 2006 B2
7022559 Barnak et al. Apr 2006 B2
7036098 Eleyan et al. Apr 2006 B2
7038258 Liu et al. May 2006 B2
7039881 Regan May 2006 B2
7045456 Murto et al. May 2006 B2
7057216 Ouyang et al. Jun 2006 B2
7061058 Chakravarthi et al. Jun 2006 B2
7064039 Liu Jun 2006 B2
7064399 Babcock et al. Jun 2006 B2
7071103 Chan et al. Jul 2006 B2
7078325 Curello et al. Jul 2006 B2
7078776 Nishinohara et al. Jul 2006 B2
7089515 Hanafi et al. Aug 2006 B2
7119381 Passlack Oct 2006 B2
7122411 Mouli Oct 2006 B2
7127687 Signore Oct 2006 B1
7170120 Datta et al. Jan 2007 B2
7176137 Perng et al. Feb 2007 B2
7186598 Yamauchi et al. Mar 2007 B2
7189627 Wu et al. Mar 2007 B2
7199430 Babcock et al. Apr 2007 B2
7202517 Dixit et al. Apr 2007 B2
7211871 Cho May 2007 B2
7221021 Wu et al. May 2007 B2
7223646 Miyashita et al. May 2007 B2
7226833 White et al. Jun 2007 B2
7226843 Weber et al. Jun 2007 B2
7235822 Li Jun 2007 B2
7256639 Koniaris et al. Aug 2007 B1
7259428 Inaba Aug 2007 B2
7260562 Czajkowski et al. Aug 2007 B2
7294877 Rueckes et al. Nov 2007 B2
7297994 Wieczorek et al. Nov 2007 B2
7301208 Handa et al. Nov 2007 B2
7304350 Misaki Dec 2007 B2
7307471 Gammie et al. Dec 2007 B2
7312500 Miyashita et al. Dec 2007 B2
7323754 Ema et al. Jan 2008 B2
7332439 Lindert et al. Feb 2008 B2
7348629 Chu et al. Mar 2008 B2
7354833 Liaw Apr 2008 B2
7380225 Joshi et al. May 2008 B2
7398497 Sato et al. Jul 2008 B2
7416605 Zollner et al. Aug 2008 B2
7427788 Li et al. Sep 2008 B2
7442971 Wirbeleit et al. Oct 2008 B2
7449733 Inaba et al. Nov 2008 B2
7462908 Bol et al. Dec 2008 B2
7485536 Jin et al. Feb 2009 B2
7487474 Ciplickas et al. Feb 2009 B2
7491988 Tolchinsky et al. Feb 2009 B2
7494861 Chu et al. Feb 2009 B2
7496862 Chang et al. Feb 2009 B2
7496867 Turner et al. Feb 2009 B2
7498637 Yamaoka et al. Mar 2009 B2
7501324 Babcock et al. Mar 2009 B2
7503020 Allen et al. Mar 2009 B2
7507999 Kusumoto et al. Mar 2009 B2
7514766 Yoshida Apr 2009 B2
7521323 Surdeanu et al. Apr 2009 B2
7531393 Doyle et al. May 2009 B2
7531836 Liu et al. May 2009 B2
7538364 Twynam May 2009 B2
7538412 Schulze et al. May 2009 B2
7562233 Sheng et al. Jul 2009 B1
7564105 Chi et al. Jul 2009 B2
7566600 Mouli Jul 2009 B2
7592241 Takao Sep 2009 B2
7598142 Ranade et al. Oct 2009 B2
7605041 Ema et al. Oct 2009 B2
7605060 Meunier-Beillard et al. Oct 2009 B2
7605429 Bernstein et al. Oct 2009 B2
7608496 Chu Oct 2009 B2
7615802 Elpelt et al. Nov 2009 B2
7622341 Chudzik et al. Nov 2009 B2
7642140 Bae et al. Jan 2010 B2
7644377 Saxe et al. Jan 2010 B1
7645665 Kubo et al. Jan 2010 B2
7651920 Siprak Jan 2010 B2
7655523 Babcock et al. Feb 2010 B2
7673273 Madurawe et al. Mar 2010 B2
7675126 Cho Mar 2010 B2
7675317 Perisetty Mar 2010 B2
7678638 Chu et al. Mar 2010 B2
7681628 Joshi et al. Mar 2010 B2
7682887 Dokumaci et al. Mar 2010 B2
7683442 Burr et al. Mar 2010 B1
7696000 Liu et al. Apr 2010 B2
7704822 Jeong Apr 2010 B2
7704844 Zhu et al. Apr 2010 B2
7709828 Braithwaite et al. May 2010 B2
7723750 Zhu et al. May 2010 B2
7741138 Cho Jun 2010 B2
7745270 Shah et al. Jun 2010 B2
7750374 Capasso et al. Jul 2010 B2
7750381 Hokazono et al. Jul 2010 B2
7750405 Nowak Jul 2010 B2
7750682 Bernstein et al. Jul 2010 B2
7755144 Li et al. Jul 2010 B2
7755146 Helm et al. Jul 2010 B2
7759206 Luo et al. Jul 2010 B2
7759714 Itoh et al. Jul 2010 B2
7761820 Berger et al. Jul 2010 B2
7795677 Bangsaruntip et al. Sep 2010 B2
7808045 Kawahara et al. Oct 2010 B2
7808410 Kim et al. Oct 2010 B2
7818702 Mandelman et al. Oct 2010 B2
7821066 Lebby et al. Oct 2010 B2
7829402 Matocha et al. Nov 2010 B2
7831873 Trimberger et al. Nov 2010 B1
7867835 Lee et al. Jan 2011 B2
7883977 Babcock et al. Feb 2011 B2
7888747 Hokazono Feb 2011 B2
7895546 Lahner et al. Feb 2011 B2
7897495 Ye et al. Mar 2011 B2
7906413 Cardone et al. Mar 2011 B2
7906813 Kato Mar 2011 B2
7910419 Fenouillet-Beranger et al. Mar 2011 B2
7919791 Flynn et al. Apr 2011 B2
7926018 Moroz et al. Apr 2011 B2
7941776 Majumder et al. May 2011 B2
7945800 Gomm et al. May 2011 B2
7948008 Liu et al. May 2011 B2
7952147 Ueno et al. May 2011 B2
7960232 King et al. Jun 2011 B2
7960238 Kohli et al. Jun 2011 B2
7968400 Cai Jun 2011 B2
7968411 Williford Jun 2011 B2
7989900 Haensch et al. Aug 2011 B2
8004024 Furukawa et al. Aug 2011 B2
8012827 Yu et al. Sep 2011 B2
8039332 Bernard et al. Oct 2011 B2
8046598 Lee Oct 2011 B2
8048791 Hargrove et al. Nov 2011 B2
8048810 Tsai et al. Nov 2011 B2
8051340 Cranford, Jr. et al. Nov 2011 B2
8067279 Sadra et al. Nov 2011 B2
8105891 Yeh et al. Jan 2012 B2
8106424 Schruefer Jan 2012 B2
8106481 Rao Jan 2012 B2
8119482 Bhalla et al. Feb 2012 B2
8120069 Hynecek Feb 2012 B2
8129246 Babcock et al. Mar 2012 B2
8129797 Chen et al. Mar 2012 B2
8134159 Hokazono Mar 2012 B2
8143120 Kerr et al. Mar 2012 B2
8143124 Challa et al. Mar 2012 B2
8143678 Kim et al. Mar 2012 B2
8148774 Mori et al. Apr 2012 B2
8163619 Yang et al. Apr 2012 B2
8169002 Chang et al. May 2012 B2
8170857 Joshi et al. May 2012 B2
8173499 Chung et al. May 2012 B2
8173502 Yan et al. May 2012 B2
8176461 Trimberger May 2012 B1
8178430 Kim et al. May 2012 B2
8183096 Wirbeleit May 2012 B2
8183107 Mathur et al. May 2012 B2
8185865 Gupta et al. May 2012 B2
8201122 Dewey, III et al. Jun 2012 B2
8214190 Joshi et al. Jul 2012 B2
8225255 Ouyang et al. Jul 2012 B2
8227307 Chen et al. Jul 2012 B2
8236661 Dennard et al. Aug 2012 B2
8239803 Kobayashi Aug 2012 B2
8266567 El Yahyaoui et al. Sep 2012 B2
8975128 Thompson Mar 2015 B2
20010014495 Yu Aug 2001 A1
20020042184 Nandakumar et al. Apr 2002 A1
20030006415 Yokogawa et al. Jan 2003 A1
20030047763 Hieda et al. Mar 2003 A1
20030122203 Nishinohara et al. Jul 2003 A1
20030173626 Burr Sep 2003 A1
20030183856 Wieczorek et al. Oct 2003 A1
20040075118 Heinemann et al. Apr 2004 A1
20040075143 Bae et al. Apr 2004 A1
20040084731 Matsuda et al. May 2004 A1
20040180488 Lee Sep 2004 A1
20050116282 Pattanayak et al. Jun 2005 A1
20050250289 Babcock et al. Nov 2005 A1
20050280075 Ema et al. Dec 2005 A1
20060022270 Boyd et al. Feb 2006 A1
20060049464 Rao Mar 2006 A1
20060068555 Zhu et al. Mar 2006 A1
20060068586 Pain Mar 2006 A1
20060071278 Takao Apr 2006 A1
20060154428 Dokumaci Jul 2006 A1
20060203581 Joshi et al. Sep 2006 A1
20060223248 Venugopal et al. Oct 2006 A1
20070040222 Van Camp et al. Feb 2007 A1
20070158790 Rao Jul 2007 A1
20070212861 Chidambarrao et al. Sep 2007 A1
20070238253 Tucker Oct 2007 A1
20080067589 Ito et al. Mar 2008 A1
20080108208 Arevalo et al. May 2008 A1
20080169493 Lee et al. Jul 2008 A1
20080197439 Goerlach et al. Aug 2008 A1
20080227250 Ranade et al. Sep 2008 A1
20080237661 Ranade et al. Oct 2008 A1
20080258198 Bojarczuk et al. Oct 2008 A1
20080272409 Sonkusale et al. Nov 2008 A1
20090057746 Sugll et al. Mar 2009 A1
20090108350 Cai et al. Apr 2009 A1
20090134468 Tsuchiya et al. May 2009 A1
20090302388 Cai et al. Dec 2009 A1
20090311837 Kapoor Dec 2009 A1
20090321849 Miyamura et al. Dec 2009 A1
20100012988 Yang et al. Jan 2010 A1
20100038724 Anderson et al. Feb 2010 A1
20100148153 Hudait et al. Jun 2010 A1
20100149854 Vora Jun 2010 A1
20100187641 Zhu et al. Jul 2010 A1
20110059588 Kang Mar 2011 A1
20110073961 Dennard et al. Mar 2011 A1
20110074498 Thompson Mar 2011 A1
20110079860 Verhulst Apr 2011 A1
20110079861 Shifren et al. Apr 2011 A1
20110095811 Chi et al. Apr 2011 A1
20110169082 Zhu et al. Jul 2011 A1
20110175170 Wang et al. Jul 2011 A1
20110180880 Chudzik et al. Jul 2011 A1
20110193164 Zhu Aug 2011 A1
20110248352 Shifren Oct 2011 A1
20110309447 Arghavani et al. Dec 2011 A1
20120021594 Gurtej et al. Jan 2012 A1
20120056275 Cai et al. Mar 2012 A1
20120065920 Nagumo et al. Mar 2012 A1
20120108050 Chen et al. May 2012 A1
20120167025 Gillespie et al. Jun 2012 A1
20120187491 Zhu et al. Jul 2012 A1
20120190177 Kim et al. Jul 2012 A1
20120299111 Thompson Nov 2012 A1
20120327725 Clark Dec 2012 A1
20130020638 Thompson Jan 2013 A1
20130208526 Chung Aug 2013 A1
20140077312 Thompson Mar 2014 A1
20150169400 Morris Jun 2015 A1
Foreign Referenced Citations (13)
Number Date Country
0274278 Jul 1988 EP
0312237 Apr 1989 EP
0531621 Mar 1993 EP
0683515 Nov 1995 EP
0889502 Jan 1999 EP
1450394 Aug 2004 EP
59193066 Nov 1984 JP
4186774 Jul 1992 JP
8153873 Jun 1996 JP
8288508 Nov 1996 JP
2004087671 Mar 2004 JP
794094 Jan 2008 KR
WO2011062788 May 2011 WO
Non-Patent Literature Citations (24)
Entry
Komaragiri, R. et al., “Depletion-Free Poly Gate Electrode Architecture for Sub 100 Nanometer CMOS Devices with High-K Gate Dielectrics”, IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, 2004
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forecast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93, 2006.
Wong, H et al., “Nanoscale CMOS”, Proceedings of the IEEE, Vo. 87, No. 4, pp. 537-570, Apr. 1999.
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15 μm n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24, 1995.
Chau, R et al., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4, 2001.
Ducroquet, F et al. “Fully Depleted Silicon-On-Insulator nMOSFETs with Tensile Strained High Carbon Content Si1-yCy Channel”, ECS 210th Meeting, Abstract 1033, 2006.
Ernst, T et al., “Nanoscaled MOSFET Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans. 2006, vol. 3, Issue 7, pp. 947-961, 2006.
Goesele, U et al., Diffusion Engineering by Carbon in Silicon, Mat. Res. Soc. Symp. vol. 610, 2000.
Hokazono, A et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113, 2008.
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Symposium, pp. 29.1.1-29.1.4, 2009.
Holland, OW and Thomas, DK “A Method to Improve Activation of Implanted Dopants in SiC”, Oak Ridge National Laboratory, Oak Ridge, TN, 2001.
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage Mosfet (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate CMOS”, IEDM 96, pp. 459-462, 1996.
Lavéant, P. “Incorporation, Diffusion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194, 2002.
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814, Apr. 1998.
Ohguro, T et al., “An 0.18-μm CMOS for Mixed Digital and Analog Aplications with Zero-Volt-Vth Epitaxial-Channel MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378-1383, Jul. 1999.
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, No. 3, pp. 1582-1588, Aug. 2002.
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610, 2000.
Scholz, R et al., “Carbon-Induced Undersaturation of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202, Jan. 1998.
Scholz, RF et al., “The Contribution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394, Jan. 1999.
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050, May 1997.
Thompson, S et al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Technology Journal Q3' 1998, pp. 1-19, 1998.
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High-Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116, 1996.
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467 Oct. 1998.
Yan, Ran-Hong et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices, vol. 39, No. 7, Jul. 1992.
Provisional Applications (1)
Number Date Country
61615166 Mar 2012 US
Continuations (1)
Number Date Country
Parent 13776917 Feb 2013 US
Child 14511487 US