STANDARD CELL STRUCTURE

Information

  • Patent Application
  • 20230299069
  • Publication Number
    20230299069
  • Date Filed
    September 26, 2022
    a year ago
  • Date Published
    September 21, 2023
    7 months ago
Abstract
A standard cell includes plural of transistors including a first type transistor and a second type transistor, plural of contacts coupled to the transistors; at least one input line electrically coupled to the transistors; an output line electrically coupled to the transistors; a VDD contacting line electrically coupled to the transistors; a VSS contacting line electrically coupled to the transistors; wherein the first type transistor includes a first set of fin structures electrically coupled together, the second type transistor includes a second set of fin structures electrically coupled together, and a gap between the first type transistor and the second type transistor is not greater than 3×Fp minus A, wherein Fp is a pitch distance between two adjacent fin structures in the first type transistor and A is a minimum feature size of the standard cell.
Description
BACKGROUND OF THE INVENTION
1. Field of the Disclosure

The present invention relates to a semiconductor device in a monolithic semiconductor die, and particularly to an optimized standard cell built in a monolithic semiconductor die based on integrated scaling and stretching platform which can effectively shrink a size of logic circuits in a monolithic semiconductor die without shrinking the minimum feature size.


2. Description of the Related Art

Improvement in integrated circuit performance and cost has been achieved largely by process scaling technology according to Moore's Law, but the process variations in transistor performance with miniaturization down to the 28 nm (or lower) manufacture process is a challenge. Especially, logic circuit scaling for increased storage density, reduction in operating voltage (Vdd) for lower stand-by power consumption, and enhanced yield necessary to realize larger-capacity logic circuit become increasingly difficult to achieve.


Standard cells are commonly used and basic elements in logic circuit. The standard cell may comprise basic logical function cells (such as, an inverter cell, a NOR cell, and a NAND cell, inverter cell×2, NOR cell×2, and NAND cell×2) as shown in FIG. 1(a) to FIG. 1(f). However, even miniaturization of the manufacture process down to the 22 nm or lower (so called, “minimum feature size”, “A”, or “F”), due to the interference among the size of the contacts and layouts of the metal wires, the total area of the standard cell represented by λ2 or F2 dramatically increases when the minimum feature size decreases.


Some of the reasons for the dramatically increase of the total area of the standard cell when the minimum feature size decreases could be described as follows. The traditional standard cell, to take the inverter as shown in FIG. 1(a) as an example, is connected by using multiple interconnections, which has its first interconnection layer M1 to connect the diffusion-level (the Source-region and the Drain-region) of the transistors. There is a need to increase a second interconnection layer M2 and/or a third interconnection layer M3 for facilitating signal transmission (such as the Input or the Output) without enlarging the die size by only using M1, then a structure Via-1, which is composed of some types of the conductive materials, is formed for connecting M2 to M1. Thus, there is a vertical structure which is formed from the Diffusion through a Contact (Con) connection to M1, i.e. “Diffusion-Con-M1”. Similarly, another structure to connect the Gate through a Contact structure to M1 can be formed as “Gate-Con-M1”.


Additionally, if a connection structure is needed to be formed from an M1 interconnection through a Via1 to connect to an M2 interconnection, then it is named as “M1-Via1-M2”. A more complex interconnection structure from the Gate-level to the M2 interconnection can be described as “Gate-Con-M1-Via1-M2”. Furthermore, a stacked interconnection system may have an “M1-Via1-M2-Via2-M3” or “M1-Via1-M2-Via2-M3-Via3-M4” structure, etc. Since the gate in two access transistors (the NMOS transistor and the PMOS transistor of the inverter as shown in FIG. 1(a)) shall be connected to the Input which will be arranged in the second interconnection layer M2, in the traditional invertor such metal connections must go through interconnection layer M1 first. That is, the state-of-the-art interconnection system in inverter may not allow the Gate directly connect to M2 without bypassing the M1 structure. As results, the necessary space between one M1 interconnection and the other M1 interconnection will increase the die size and in some cases the wiring connections may block some efficient channeling intention of using M2 directly to surpass M1 regions. In addition, it is difficult to form a self-alignment structure between Via1 to Contact and at the same time both Via1 and Contact are connected to their own interconnection systems, respectively.


Additionally, in traditional standard cell (of the inverter as shown in FIG. 1(a)), at least there are one NMOS transistor 11 and one PMOS transistor 12 located respectively inside some adjacent regions (such as n-well and p-well) of p-substrate and which have been formed next to each other within a close neighborhood, a parasitic junction structure called n+/p/n/p+ parasitic bipolar device is formed with its contour starting from the n+ region of the NMOS transistor 11 to the p-well to the neighboring n-well and further up to the p+ region (p-well) of the PMOS transistor 12, as shown in FIG. 2. There are significant noises occurred on either n+/p junctions or p+/n junctions, an extraordinarily large current may flow through this n+/p/n/p+ junction abnormally which can possibly shut down some operations of CMOS circuits and to cause malfunction of the entire chip. Such an abnormal phenomenon called Latch-up is detrimental for CMOS operations and must be avoided.


One way to increase the immunity to Latch-up which is certainly a weakness for CMOS is to increase the distance from n+ region to the p+ region. Thus, the increase of the distance from n+ region to the p+ region to avoid Latch-up issue will also enlarge the size of the standard cell.



FIG. 3(a) shows the “stick diagram” representing the layout and connection among PMOS and NMOS transistors of one semiconductor company's (Samsung) 5 nm (UHD) standard cell. The stick diagram just includes active regions (horizontal red lines) and gate lines (vertical blue line). Hereinafter, the active region could be named as “fin”. Of course, there are still lots of contacts, on one hand directly coupled to the PMOS and NMOS transistors, and on the other hand, coupled to the input terminal, the output terminal, high level voltage Vdd, and low level voltage VSS (or ground “GND”), etc. Especially, each transistor includes two active regions or fins (marked by dark red) to form the channel of the transistor, such that the W/L ratio could be maintained within an acceptable range. The area size of the inverter cell is equal to X×Y, wherein X=2×Cpp, Y=Cell_Height, Cpp is the distance of Contact to Poly Pitch(Cpp). It is noticed that, some active regions or fins (marked by light red, called “dummy fins”) are not utilized in PMOS/NMOS of this standard cell, the potential reason of which is likely related to the latch-up issue between the PMOS and NMOS. Thus, the latch-up distance between the PMOS and NMOS in FIG. 3(a) is 3×Fp−λ (e.g., =14λ), wherein Fp is the fin pitch (e.g., =5λ).


Based on the available data regarding Cpp (54 nm) and Cell_Height (216 nm) in the Samsung 5 nm (UHD) standard cell, the cell area can be calculated by X×Y equal to 23328 nm2 (or 933.12λ2, wherein Lambda (A) is the minimum feature size as 5 nm). FIG. 3(b) illustrates the Samsung 5 nm (UHD) standard cell and the dimensions thereof. As shown in FIG. 3(b), the latch-up distance between PMOS and NMOS is around 15λ, Cpp is 10.8λ, and Cell_Height is 43.2λ.


Furthermore, the publicly available information regarding Cpp and Cell_Height for different process technology node (or minimum feature size) is shown in the following table:





















TSMC
5
7
10
16







Cpp (nm)
50
57
66
90



Cell_Height (nm)
180
240
360
576







Samsung
5
7
10
14







Cpp (nm)
54
54
NA
78.3



Cell_Height (nm)
216
243
NA
576







Intel
10
14
22
22







Cpp (nm)
54
70
90
108



Cell_Height (nm)
272
399
840
540










Using the above table, the scaling trend regarding area size (2×Cpp×Cell_Height) vs. different process technology node for three foundries could be shown in FIG. 4. As the technology node decreases (such as, from 22 nm down to 5 nm), it is clear that the conventional standard cell (2×Cpp×Cell_Height) area size in term of λ2 increases dramatically. In the conventional standard cell, the smaller the process node, the higher the area size in term of λ2. Such dramatic increase may be caused by the difficulty to proportionally shrink the size of gate contact/source contact/drain contact as A decreases, the difficulty to proportionally shrink the latch-up distance between the PMOS and NMOS, and the interference in metal layers as A decreases.


Thus, there is a need to propose a new standard cell structure which could solve the above-mentioned problems.


SUMMARY OF THE DISCLOSURE

One embodiment of the present disclosure is to provide a standard cell, wherein the standard cell includes a substrate with a well region, a plurality of transistors, a plurality of contacts, at least one input line, an output line, a VDD contacting line and a VSS contacting line. The plurality of transistors includes a first type transistor and a second transistor, wherein the first type transistor is formed within the well region and the second type transistor is formed outside the well region. The plurality of contacts are coupled to the plurality of transistors. The at least one input line is electrically coupled to the plurality of transistors. The output line is electrically coupled to the plurality of transistors. The VDD contacting line is electrically coupled to the plurality of transistors. The VSS contacting line is electrically coupled to the plurality of transistors. Wherein the first type transistor includes a first set of fin structures electrically coupled together, the second type transistor includes a second set of fin structures electrically coupled together, and a gap between the first type transistor and the second type transistor is not greater than 3×Fp minus λ, wherein Fp is a pitch distance between two adjacent fin structures in the first type transistor and λ is a minimum feature size of the standard cell.


According to one aspect of the present disclosure, wherein a width of the find structure in the first type transistor is Fw, and the gap between the first type transistor and the second type transistor is not greater than 3×Fp minus Fw, and Fw is greater than λ.


According to one aspect of the present disclosure, wherein the pitch distance Fp between two adjacent fin structures in the first type transistor is 3λ.


According to one aspect of the present disclosure, wherein the gap between the first type transistor and the second type transistor is substantially equal to 5λ.


According to one aspect of the present disclosure, wherein the pitch distance Fp between two adjacent fin structures in the first type transistor is 3.5λ. However, it is just an exemplary, the pitch distance Fp is not limited to this regard, in some other embodiment, and the pitch distance Fp can be 3.0λ.


According to one aspect of the present disclosure, wherein the gap between the first type transistor and the second type transistor is substantially equal to 2.5λ. In some other embodiment, the pitch distance Fp can be 3.0λ.


Another embodiment of the present disclosure is to provide a standard cell, wherein the standard cell includes a plurality of transistors, a plurality of contacts, at least one input line, an output line, a VDD contacting line and a VSS contacting line. The plurality of transistors include a PMOS transistor and a NMOS transistor. The plurality of contacts are coupled to the plurality of transistors. The at least one input line is electrically coupled to the plurality of transistors. The output line is electrically coupled to the plurality of transistors. The VDD contacting line is electrically coupled to the plurality of transistors. The VSS contacting line is electrically coupled to the plurality of transistors. Wherein the PMOS transistor includes a first set of fin structures electrically coupled together, the NMOS transistor includes a second set of fin structures electrically coupled together, and there is no fin structure located between the PMOS transistor and the NMOS transistor during the formation of the first set of fin structures and the second set of fin structures.


According to one exemplary aspect of the present disclosure, wherein a gap between an edge of the PMOS transistor and an edge of the NMOS transistor is smaller than a pitch distance between two adjacent fin structures in the PMOS transistor.


According to one aspect of the present disclosure, wherein the pitch distance Fp between two adjacent fin structures in the PMOS transistor is 3.5λ.


According to one aspect of the present disclosure, wherein the gap between the PMOS transistor and the NMOS transistor is substantially equal to 2.5λ.


Yet another embodiment of the present disclosure provides a standard cell, wherein the standard cell includes a plurality of transistors, a set of contacts, at least one input line, an output line, a VDD contacting line and a VSS contacting line. The set of contacts are coupled to the plurality of transistors. At least one input line is electrically coupled to the plurality of transistors. The output line is electrically coupled to the plurality of transistors. The VDD contacting line is electrically coupled to the plurality of transistors. The VSS contacting line is electrically coupled to the plurality of transistors. Wherein as a minimum feature size (λ) of the standard cell gradually decreases from 22 nm, an area size of the standard cell in terms of λ2 is the same or substantially the same.


According to one aspect of the present disclosure, wherein the standard cell is an inverter cell, a NAND cell, or a NOR cell.


Yet another embodiment of the present disclosure provides a standard cell, wherein the standard cell includes a plurality of transistors, a set of contacts, at least one input line, an output line and a metal contacting line. The set of contacts are coupled to the plurality of transistors. The at least one input line is electrically coupled to the plurality of transistors. The output line is electrically coupled to the plurality of transistors. The metal contacting line is electrically coupled to a first contact of the set of contacts. Wherein the first contact is not fully covered by the metal contacting line.


According to one aspect of the present disclosure, wherein a width of the metal contacting line is the same or substantially the same as that of the first contact.


According to one aspect of the present disclosure, wherein the standard cell further includes a highly doped silicon plug formed on a portion of the first contact which is not covered by the metal contacting line, wherein the highly doped silicon plug contacts to the metal contacting line.


Yet another embodiment of the present disclosure provides a standard cell, wherein the standard cell includes a plurality of transistors, a set of contacts, a first metal line and a second metal line. The set of contacts are coupled to the plurality of transistors. The first metal line is electrically coupled to the plurality of transistors. The second metal line is electrically coupled to the plurality of transistors. Wherein the second metal line is above the first metal line; and at least one of the set of contacts directly connects to the second metal line without through the first metal line.


According to one aspect of the present disclosure, wherein the at least one of the set of contacts is the gate contact.


Yet another embodiment of the present disclosure provides a standard cell, wherein the standard cell includes a plurality of transistors, a set of contacts, a first metal line and a second metal line. The set of contacts is coupled to the plurality of transistors. The first metal line is electrically coupled to the plurality of transistors. The second metal line is electrically coupled to the plurality of transistors. Wherein the plurality of transistors are formed based on a semiconductor substrate, at least one of the plurality of transistors comprises a fin structure and a channel layer covering the fin structure; and the channel layer is independent from the semiconductor substrate and is a doped layer formed without applying an ion implantation.


According to one aspect of the present disclosure, wherein the channel layer covers a first sidewall and a second sidewall of the fin structure and does not cover a top surface of the fin structure.


According to one aspect of the present disclosure, wherein the channel layer comprises a top portion covering a top surface of the fin structure and a side portion covering a first sidewall and a second sidewall of the fin structure, and the top portion and the side portion are not simultaneously formed.





BRIEF DESCRIPTION OF THE DRAWINGS

The patent or application file contains at least one drawing executed in color. Copies of this patent or patent application publication with color drawing(s) will be provided by the Office upon request and payment of the necessary fee.


The above and other aspects of the disclosure will become better understood with regard to the following detailed description of the preferred but non-limiting embodiment(s). The following description is made with reference to the accompanying drawings:



FIG. 1(a) to FIG. 1(f) are equivalent circuit diagrams respectively illustrating the prior art basic logical function cells of inverter cell, NOR cell, and NAND cell.



FIG. 2 is a diagram illustrating a diagram illustrating a cross-sectional of a traditional NMOS and PMOS structure of a standard cell.



FIG. 3(a) (Prior Art) is a stick diagram illustrating the layout and connection among PMOS and NMOS transistors of one semiconductor company's (Samsung) 5 nm (UHD) standard cell.



FIG. 3(b) (Prior Art) is the stick diagram illustrating the dimensions of the Samsung 5 nm (UHD) standard cell as show in FIG. 3(a).



FIG. 4 (Prior Art) is a diagram illustrating the scaling trend regarding area size (2×Cpp×Cell_Height) vs. different process technology node for three foundries.



FIG. 5(a) is a stick diagram illustrating the layout styles of a new inverter standard cell according to one embodiment of the present invention.



FIG. 5(b) is a stick diagram with dimension of the inverter standard cell as depicted in FIG. 5(a).



FIG. 5(c) to FIG. 5(f) are diagrams illustrating a series of processing layouts for forming the inverter standard cell based on FIG. 5(a) and FIG. 5(b).



FIG. 6(a) is a stick diagram illustrating the layout styles of a new inverter standard cell according to anther embodiment of the present invention.



FIG. 6(b) is a stick diagram with dimension of the inverter standard cell as depicted in FIG. 6(a).



FIG. 6(c) to FIG. 6(f) are diagrams illustrating a series of processing layouts for forming the inverter standard cell based on FIG. 6(a) and FIG. 6(b).



FIG. 7(a) is a stick diagram illustrating the layout styles of a new standard cell according to anther embodiment of the present invention.



FIG. 7(b) is a stick diagram with dimension of the standard cell as depicted in FIG. 7(a).



FIG. 7(c) to FIG. 7(f) are diagrams illustrating a series of processing layouts for forming the standard cell based on FIG. 7(a) and FIG. 7(b).



FIG. 8(a) is a diagram illustrating a top view of a miniaturized metal-oxide-semiconductor field-effect transistor (mMOSFET) used in a new standard cell according to the present invention.



FIG. 8(b) is a diagram illustrating a cross-section of pad-oxide layer, the pad-nitride layer on the substrate, and the STI-oxide1 formed in the substrate.



FIG. 8(c) is a diagram illustrating the true gate (TG) and the dummy shield gate (DSG) formed on above the active region.



FIG. 8(d) is a diagram illustrating the spin-on dielectrics (SOD) being deposited, and a well-designed gate mask layer being deposited and etched.



FIG. 8(e) is a diagram illustrating the nitride layer above the dummy shield gate (DSG), the DSG, portion of the dielectric insulator corresponding to the DSG, and the p-type substrate corresponding to the DSG are removed.



FIG. 8(f) is a diagram illustrating the gate mask layer being removed, the SOD being etched, and an oxide layer being deposited to form a STI-oxide-2.



FIG. 8(g) is a diagram illustrating the oxide-3 layer being deposited and etched to form the oxide-3 spacer, the lightly Doped drains (LDDs) being formed in the p-type substrate, the nitride layer being deposited and etched back to form the nitride spacer, and the dielectric insulator being removed.



FIG. 8(h) is a diagram illustrating the intrinsic silicon electrode being grown by the selective epitaxy growth (SEG) technique.



FIG. 8(i) is a diagram illustrating the CVD-STI-oxide3 layer being deposited and etched back, the intrinsic silicon electrode being removed, and the source (n+ source) and the drain (n+ drain) of the mMOSFET being formed.



FIG. 8(j) is a diagram illustrating the oxide spacer being deposited and etched to form the contact-hole openings.



FIG. 8(k) is a diagram illustrating a layer of SOD is deposited to fill the vacancies on the substrate, and use CMP to make the surface flat.



FIG. 8(l) is the top view of the FIG. 8(k).



FIG. 8(m) is a diagram illustrating the photo resistance layer formed over the structure in FIG. 8(l).



FIG. 8(n) is a diagram illustrating an anisotropic etching technique to remove the Nitride-cap layer within the exposed gate extension region to reveal the conductive Metal-gate layer.



FIG. 8(o) is a diagram illustrating the photo resistance layer and the SOD layers being removed to form opening regions on top of both the source region and the drain region, and the spacers being formed.



FIG. 8(p) is the top view of the FIG. 8(o).



FIG. 8(q) is a diagram illustrating the layer of Metal-1 interconnection networks being formed.



FIG. 8(r) is the top view of the FIG. 8(q), in which the gate is connected to the source region through the Metal-1 layer.



FIG. 9(a) is a top view of the constructed phase of a mMOSFET used in a new standard cell according to another embodiment of the present disclosure.



FIG. 9(b) and FIG. 9(c) are two cross sections of the constructed phase of the transistor along cutline C9A1 and cutline C9A2 shown in FIG. 9(a), respectively.



FIG. 9(d) is a top view illustrating a structure after the second conductor pillar portion and the fourth conductor pillar portion are formed on the first conductor pillar portions and the third conductor pillar portion.



FIG. 9(e) is a cross-sectional view taken along the cutting line C9D1 as depicted in FIG. 9(d).



FIG. 9(f) is a cross-sectional view taken along the cutting line C9D2 as depicted in FIG. 9(d).



FIG. 9(g) is a top view illustrating a structure after the first conduction layer and the second dielectric sub-layer 860 are formed over the first dielectric layer according to one embodiment of the present disclosure.



FIG. 9(h) is a cross-sectional view taken along the cutting line C9G1 as depicted in FIG. 9(g).



FIG. 9(i) is a cross-sectional view taken along the cutting line C9G2 as depicted in FIG. 9(g).



FIG. 9(j) is a top view illustrating a structure after the conduction layer is formed over the over the upper dielectric layer according to one embodiment of the present disclosure.



FIG. 9(k) is a cross-sectional view taken along the cutting line C9J1 as depicted in FIG. 9(j).



FIG. 9(1) is a cross-sectional view taken along the cutting line C9J 2 as depicted in FIG. 9(j).



FIG. 10(a) is a top view of a mMOSFET used in a new standard cell according to one embodiment of the present disclosure.



FIG. 10(b) is a cross-sectional view taken along the cutting line C10A1 as depicted in FIG. 10(a).



FIG. 10(c) is a cross-sectional view taken along the cutting line C10A2 as depicted in FIG. 10(a).



FIG. 10(d) is a top view of another mMOSFET used in a new standard cell according to another embodiment of the present disclosure.



FIG. 10(e) is a cross-sectional view taken along the cutting line C10D1 as depicted in FIG. 10(d).



FIG. 10(f) is a cross-sectional view taken along the cutting line C10D2 as depicted in FIG. 10(d).



FIG. 11 is a diagram illustrating a cross section of the NMOS transistor used in a new standard cell according to another embodiment of the present disclosure.



FIG. 12(a) is a top view illustrating a combination structure of the PMOS transistor and the NMOS transistor used in a new standard cell according to one embodiment of the present embodiment.



FIG. 12(b) is a cross-sectional view of the PMOS transistor and the NMOS transistor taking along the cutline (X-axis) in FIG. 12(a).



FIG. 12(c) is a cross-sectional view of the PMOS transistor and the NMOS transistor taking along the cutline (Y-axis) in FIG. 12(a).



FIG. 13 is a diagram illustrating the comparison result among the area size of the new standard cell provided by the preset invention and that of the conventional products provided by various other companies.



FIG. 14(a) to FIG. 14(f) are top views and the corresponding equivalent circuit diagrams of the standard cells with one-single NOR cell and one-single NAND cell according to some embodiments of the present disclosure.



FIG. 14(g) to FIG. 14(i) are top views and the corresponding equivalent circuit diagrams of the standard cells with Inverter cell×2, NOR cell×2 and NAND cell×2 according to some embodiments of the present disclosure.





DETAILED DESCRIPTION OF THE DISCLOSURE

In currently conventional standard cell, even miniaturization of the minimum feature size or technology node is down to the 28 nm or lower, the size of transistor could not be diminished proportionally. The present invention discloses a new standard cell with a compact layout style in a monolithic semiconductor die, by adopting the new layout style the area size of the standard cell across different technology nodes can stay flat or less sensitive to the technology nodes without enlarging the Latch-up issue.


For example, FIG. 5(a) illustrates a stick diagram of a standard cell 500 according to one embodiment of the present invention. FIG. 5(b) is a stick diagram with dimension (in term of A) of the inverter cell 500 according to FIG. 5(a). For purpose of describing the structure in clarity and concise, merely gate-level and diffusion level of the inverter standard cell 500 are depicted.


Wherein, the inverter standard cell 500 incudes a NMOS transistor and a PMOS transistor, wherein the PMOS transistor has a first fin structure consisting of two fins (with a pitch distance Fp of 3A there between) formed in an n_well region of a semiconductor substrate (not shown) and a gate covering the fin structure; and the NMOS transistor has a second fin structure consisting of two fins (with a pitch distance Fp of 3A there between) formed in an p_well region of the semiconductor substrate (not shown) and a gate covering the second fin structure. The NMOS transistor is separated from the PMOS transistor with a gap, and there is only one dummy fin disposed between the NMOS transistor and the PMOS transistor.


The area size of the inverter standard cell 500 can achieve compact design, as a minimum feature size (λ) of the inverter standard cell 500 gradually decreases for different technology nodes (such as from 22 nm to 16 nm, or from 22 nm to 5 nm), an area size of the inverter standard cell 500 in terms of λ2 is the same or substantially the same. In the present embodiment, width for active region or fin is A, so is the width of the gate line (or Poly line), the Cpp is 4λ, the Cell_Height is 24λ, and the cell area (2×Cpp×Cell_Height as marked by black-dash rectangle) of the inverter standard cell 500 is 192λ2.



FIG. 5(c) to FIG. 5(f) are diagrams illustrating a series of processing layouts for forming the new inverter standard cell 500 based on FIG. 5(a) and FIG. 5(b) when λ is set to 5 nm. As shown in FIG. 5(a), a plural sets of fin structure (such as a plurality of horizontal fins) are formed on a semiconductor substrate (nor shown), wherein two adjacent fins 501 formed in an n_well region of the semiconductor substrate are used as the active region the PMOS transistor; two adjacent fins 502 formed in the semiconductor substrate and adjacent to the PMOS are used as the active region of the NMOS transistor. Here two adjacent fins 503 formed in the semiconductor substrate and between the NMOS transistor and the PMOS transistor can serve as two dummy fins. In FIG. 5(c), a gap between the PMOS transistor and the NMOS transistor is equal to or substantially equal to 3×Fp−Fw, wherein Fp is a fin pitch distance shown in FIG. 5(a) between two adjacent fin structures (e.g., Fp=3λ); and Fw is the fin width of the fin structure. In this embodiment, the fin width Fw is set to A (a minimum feature size). Thus, a gap between the PMOS transistor and the NMOS transistor is equal to or substantially equal to 3×Fp−A (e.g., gap=8λ).


Furthermore, plural of gate lines 504 (or Poly-lines) are formed on the semiconductor substrate and straddling over the fin structures of the PMOS transistor and the NMOS transistor and the dummy fins. In the present embodiment, the gap between two gate lines or Poly lines (Cpp, marked in FIG. 5(a)) is as small as 4λ. In FIG. 5(c), a plurality of source/drain contact AA_CT 505 (Opening VIA Mask layer for connecting Active Area of the source/drain region to the Metal-1 layer (M1)) and a gat contact Gate_CT 506 (Opening VIA Mask layer for directly Poly-lines to the Metal-2 layer (M2)) are formed. FIG. 5 (d), a plurality of Metal-1 layers (M1) 507 (width: A or greater) are formed to connect the plurality of the source/drain contacts 505 (AA_CT mask), however, the gat contact Gate_C 506 do not connect to the Metal-1 layers (M1) 507. In FIG. 5(e), a plurality of VIA1 508 for connecting the Metal-1 layer (M1) 507 to the Metal-2 layer (M2) are formed on top of the Metal-1 layer (M1) 507. In FIG. 5(f), a plurality of Metal-2 layer (M2) 509 are formed to connect the plurality of VIA 1 508 to Vdd, the output terminal and the Vss, respectively; wherein one additional Metal-2 layer (M2) 510 is formed to directly connect the gate contact Gate_C 506 to the input terminal.



FIG. 6(a) illustrates a stick diagram of a standard cell 600 according to another embodiment of the present invention. FIG. 6(b) is a stick diagram with dimension (in term of Δ) of the inverter cell 600 according to FIG. 6(a). For purpose of describing the structure in clarity and concise, merely gate-level and diffusion level of the inverter standard cell 600 are depicted.


Wherein, the inverter standard cell 600 incudes a NMOS transistor and a PMOS transistor, wherein the PMOS transistor has a first fin structure consisting of two fins (with a pitch distance Fp of 3λ there between) formed in an n_well region of a semiconductor substrate (not shown) and a gate covering the fin structure; and the NMOS transistor has a second fin structure consisting of two fins (with a pitch distance Fp of 3λ there between) formed in an p_well region of the semiconductor substrate (not shown) and a gate covering the second fin structure. The NMOS transistor is separated from the PMOS transistor with a gap, and there is only one dummy fin disposed between the NMOS transistor and the PMOS transistor.


The area size of the inverter standard cell 600 can achieve compact design, as a minimum feature size (λ) of the inverter standard cell 600 gradually decreases for different technology nodes (such as from 22 nm to 16 nm, or from 22 nm to 5 nm), an area size of the inverter standard cell 500 in terms of λ2 is the same or substantially the same. In the present embodiment, width for active region or fin is A, so is the width of the gate line (or Poly line), the Cpp is 4λ, the Cell_Height is 21λ, and the cell area (2×Cpp×Cell_Height as marked by black-dash rectangle) of the inverter standard cell 600 is 168 λ2.



FIG. 6(c) to FIG. 6(f) are diagrams illustrating a series of processing layouts for forming the new inverter standard cell 600 based on FIG. 6(a) and FIG. 6(b) when λ is set to 5 nm. As shown in FIG. 6(a), a plural sets of fin structure (such as a plurality of horizontal fins) are formed on a semiconductor substrate (nor shown), wherein two adjacent fins 601 formed in an n_well region of the semiconductor substrate are used as the active region the PMOS transistor; two adjacent fins 602 two adjacent fins 601 formed in an n_well region formed in the semiconductor substrate and adjacent to the PMOS are used as the active region of the NMOS transistor. Here only one fin 603 formed in the semiconductor substrate and between the NMOS transistor and the PMOS transistor can serve as a dummy fin. In FIG. 6(c), a gap between the PMOS transistor and the NMOS transistor is equal to or substantially equal to 2×Fp−Fw, wherein Fp is a fin pitch distance (e.g., Fp=3λ) shown in FIG. 6(a) between two adjacent fin structures; and Fw is the fin width of the fin structure. In this embodiment, the fin width Fw is set to λ (a minimum feature size). Thus, a gap between the PMOS transistor and the NMOS transistor is equal to or substantially equal to 2×Fp−A (e.g., gap=5λ).


Furthermore, plural of gate lines 604 (or Poly-lines) are formed on the semiconductor substrate and straddling over the fin structures of the PMOS transistor and the NMOS transistor and the dummy fins. In the present embodiment, the gap between two gate lines or Poly lines (Cpp, marked in FIG. 6(a)) is as small as 4λ. In FIG. 6(c), a plurality of source/drain contact AA_CT 605 (Opening VIA Mask layer for connecting Active Area of the source/drain region to the Metal-1 layer (M1)) and a gate contact Gate_CT 606 (Opening VIA Mask layer for directly Poly-lines to the Metal-2 layer (M2)) are formed. FIG. 6(d), a plurality of Metal-1 layers (M1) 607 (width: A or greater) are formed to connect the plurality of the source/drain contacts 605 (AA_CT mask), however, the gat contact Gate_C 606 do not connect to the Metal-1 layers (M1) 607. In FIG. 6(e), a plurality of VIA1 608 for connecting the Metal-1 layer (M1) 607 to the Metal-2 layer (M2) are formed based on the Metal-1 layer (M1) 607. In FIG. 6(f), a plurality of Metal-2 layer (M2) 609 are formed to connect the plurality of VIA 1 608 to Vdd, the output terminal and the Vss, respectively; wherein one additional Metal-2 layer (M2) 610 is formed to directly connect the gate contact Gate_C 606 to the input terminal.



FIG. 7(a) illustrates a stick diagram of a standard cell 700 (such as NDAN) according to another embodiment of the present invention. FIG. 7(b) is a stick diagram with dimension (in term of A) of the standard cell 700 according to FIG. 7(a). For purpose of describing the structure in clarity and concise, merely gate-level and diffusion level of the standard cell 700 are depicted.


Wherein, the standard cell 700 incudes a NMOS transistor and a PMOS transistor, wherein the PMOS transistor has a first fin structure consisting of two fins (with a pitch distance Fp of 3.5λ there between) formed in an n_well region of a semiconductor substrate (not shown) and a gate covering the fin structure; and the NMOS transistor has a second fin structure consisting of two fins (with a pitch distance Fp of 3.5λ there between) formed in an p_well region of the semiconductor substrate (not shown) and a gate covering the second fin structure. The NMOS transistor is separated from the PMOS transistor with a gap, and there is no dummy fin disposed between the NMOS transistor and the PMOS transistor.


The area size of the standard cell 700 can achieve compact design, as a minimum feature size (λ) of the standard cell 700 gradually decreases for different technology nodes (such as from 22 nm to 16 nm, or from 22 nm to 5 nm), an area size of the standard cell 700 in terms of λ2 is the same or substantially the same. In the present embodiment, width for active region or fin is λ, so is the width of the gate line (or Poly line), the Cpp is 4λ, the Cell_Height is 21λ.



FIG. 7(c) to FIG. 7(f) are diagrams illustrating a series of processing layouts for forming the new standard cell based on FIG. 7(a) and FIG. 7(b) when λ is set to 5 nm. As shown in FIG. 7(a), a plural sets of fin structure (such as a plurality of horizontal fins) are formed on a semiconductor substrate (not shown), wherein two adjacent fins 701 formed in an n_well region of the semiconductor substrate are used as the active region the PMOS transistor;


two adjacent fins 702 formed in the semiconductor substrate and adjacent to the PMOS are used as the active region of the NMOS transistor. Here is no dummy fin formed between the NMOS transistor and the PMOS transistor. In FIG. 7(c), a gap between the PMOS transistor and the NMOS transistor is equal to or substantially equal to Fp−Fw, wherein Fp is a fin pitch distance (e.g., Fp=3.5λ) shown in FIG. 7(a) between two adjacent fin structures; and Fw is the fin width of the fin structure. In this embodiment, the fin width Fw is set to λ (a minimum feature size). Thus, a gap between the PMOS transistor and the NMOS transistor is equal to or substantially equal to Fp−A (e.g., gap=2.5λ).


Furthermore, plural of gate lines 704 (or Poly-lines) are formed on the semiconductor substrate and straddling over the fin structures of the PMOS transistor and the NMOS transistor and the dummy fins. In the present embodiment, the gap between two gate lines or Poly lines (Cpp, marked in FIG. 7(a)) is as small as 4A. In FIG. 7(c), a plurality of source/drain contact AA_CT 705 (Opening VIA Mask layer for connecting Active Area of the source/drain region to the Metal-1 layer (M1)) and a gat contact Gate_CT 706 (Opening VIA Mask layer for directly Poly-lines to the Metal-2 layer (M2)) are formed. FIG. 7(d), a plurality of Metal-1 layers (M1) 707 (width: A or greater) are formed to connect the plurality of the source/drain contacts 705 (AA_CT mask), however, the gat contact Gate_C 706 do not connect to the Metal-1 layers (M1) 707. In FIG. 7(e), a plurality of VIA1 708 for connecting the Metal-1 layer (M1) 707 to the Metal-2 layer (M2) are formed based on the Metal-1 layer (M1) 707. In FIG. 7(f), a plurality of Metal-2 layer (M2) 709 are formed to connect the plurality of VIA 1 708 to Vdd, the output terminal and the Vss, respectively; wherein one additional Metal-2 layer (M2) 710 is formed to directly connect the gate contact Gate_C 706 to the input terminal.


The conventional standard cell may not allow the gate or source/drain directly connect to Metal-2 layer (M2) without bypassing the Metal-1 layer (M1). The present invention discloses a new standard cell structure in which the gate/source/drain could be directly connected to the Metal-2 interconnection layer without a transitional Metal-1 layer in a self-alignment way through one vertical conductive plug, as described below.


In FIG. 5(a) to FIG. 5(f), FIG. 6(a) to FIG. 6(f) and FIG. 7(a) to FIG. 7(f), the meaning of the abbreviated symbols are as follows:















M2
The Metal-2 layer


VIA1
The VIA Mask layer for connecting the Metal-1



layer to the Metal-2 layer


M1
The Metal-1 layer


CT_AA to M1
Opening VIA Mask layer for connecting AA (or



Poly) to the Metal-1 layer, wherein “AA” means



the active region (source or drain), and “Poly”



means gate region.


Gate_CT to M2
Opening VIA Mask layer for connecting AA (or



Poly) directly to the Metal-2 layer


Poly
The Mask layer for Polysilicon Gate or Metal



Gate


Active Area
The Mask layer for Active Area (such as drain



region or source region)


n_well
The N-well Mask area for N-well


Vdd
Vdd voltage source


Vss
Vss voltage source









In addition, those dimensions of the standard cell can be easily achieved by precisely controlling the linear dimensions of the source, the drain and the gate of the PMOS transistor and the NMOS transistor in the new standard cell, and the linear dimension can be as small as the minimum feature size, Lambda (λ), no matter the size of the currently available technology node (or minimum feature size). Moreover, in the standard cell of the present invention (such as, inverter, NAND, NOR, etc.), there could be (1) no dummy fin, (2) only one dummy fin, or (3) two dummy fins disposed between the NMOS transistor and the PMOS transistor of the standard cell.


In a traditional standard cell, even miniaturization of the manufacture process is down to the 28 nm or lower (so called, “minimum feature size”, “λ”, or “F”), the size of a metal oxide semiconductor field effect transistor (MOSFET) used in a standard cell could not be diminished proportionally. However, in the present embodiment, when two adjacent transistors (such as, the PMOS transistor and the NMOS transistor in FIG. 5(a)) are connected together through the drain/source, the distance between the edges of the gates of the two adjacent transistors (i.e. the latch-up distance) could be as small as 8λ. Additionally, a linear dimension for a contact hole for the source, the drain and the gate could be less than λ, such as 0.6λ-0.8λ, can be achieved within the drain area (so is within the source area and the gate area).


As shown in FIG. 5(b), FIG. 6(b) and FIG. 7(b), each of the PMOS and the NMOS includes multiple active regions to maintain reasonable W/L ratio. The source/drain contacts AA_CT (for connection to the Metal-1 layer (M1)) could be formed in the active regions. The present invention uses a temporary Dummy-Shield-Gate (DSG) added on the Gate-Level Mask to achieve making the designed distance from the Gate Edge to the Boundary Edge between the Source Region and the Isolation Region (GEBESI) sharply by avoiding Photolithographic Misalignment Tolerances (MTP).


For example, FIG. 8(a) is an example of a miniaturized metal oxide semiconductor field effect transistor (mMOSFET) 800 used in a new standard cell according to one embodiment of the present invention. As shown in FIG. 8(a), the mMOSFET 800 includes: (1) a gate structure 810 has a length G(L) and a width G(W), (2) on a left-hand side of the gate structure 810, a source 803 has a length S(L) which is a linear dimension from an edge of the gate structure 810 to an edge of an isolation region 805 and a width S(W), (3) on a right-hand side of the gate structure 810, a drain 807 has a length D(L) which is a linear dimension from the edge of the gate structure 810 to the edge of the isolation region 805 and a width D(W), (4) at a center of the source 803, a contact-hole 809 formed by a self-alignment technology has length and width of an opening labeled as C-S(L) and C-S(W), respectively, and (5) similarly at a center of the drain 807, a contact hole 811 formed by the self-alignment technology has length and width of an opening labeled as C-D(L) and C-D(W), respectively. The length G(L), the length D(L), and the length S(L) could be precisely controlled as small as the minimum feature size λ. Furthermore, the length and width of an opening labeled as C-S(L) and C-S(W) or the length and width of an opening labeled as D-S(L) and D-S(W) could be less than λ, such as 0.6λ-0.8λ.


The following briefly describes the manufacture process for the aforesaid mMOSFET 800 used in the standard cell of the present invention. The detailed description for the structure of the mMOSFET 800 and the manufacture process thereof is presented in the U.S. patent application Ser. No. 17/138,918, filed on Dec. 31, 2020 and entitled: “MINIATURIZED TRANSISTOR STRUCTURE WITH CONTROLLED DIMENSIONS OF SOURCE/DRAIN AND CONTACT-OPENING AND RELATED MANUFACTURE METHOD”, and the whole content of the U.S. patent application Ser. No. 17/138,918 is incorporated by reference herein.


As shown in FIG. 8(b), a pad-oxide layer 802 is formed and a pad-nitride layer 804 is deposited on a substrate 801. The active region of the mMOSFET 800 is also defined and remove parts of silicon material outside the active region to create the trench structure. An oxide-1 layer is deposited in the trench structure and etched back to form a shallow trench isolation (STI-oxide1) 806 below the original horizontal surface of the silicon substrate (“HSS”).


The pad-oxide layer 802 and the pad-nitride layer 804 are removed, and a dielectric insulator 612 is formed over the HSS. Then, a gate layer 810 and a nitride layer 614 are deposited above the HSS, and the gate layer 810 and the nitride layer 614 are etched to form a true gate (TG) of the mMOSFET and dummy shield gates (DSG) with a desired linear distance to the true gate. As shown in FIG. 8(c), the length of the true gate (TG) is λ, the length of the dummy shield gate (DSG) is also A, and the distance between the edges of the true gate (TG) and the dummy shield gate (DSG) is A as well.


Then, deposit a spin-on dielectrics (SOD) 712, and then etch back the SOD 712. Form a well-designed gate mask layer 812 by the photolithographic masking technique, as shown in FIG. 8(d). Thereafter, utilize the anisotropic etching technique to remove the nitride layer 814 above the dummy shield gate (DSG), and remove the dummy shield gate (DSG), portion of the dielectric insulator 812 corresponding to the dummy shield gate (DSG), and the p-type substrate 801 corresponding to the dummy shield gate (DSG), as shown in FIG. 8(e).


Furthermore, remove the gate mask layer 812, etch the SOD 712, and deposit a STI-oxide-2 1002 and then etch back, as shown in FIG. 8(f). Then, deposit and etch back an oxide-3 layer to form an oxide-3 spacer 1502, form the lightly Doped drains (LDDs) 1504 in the p-type substrate 801, deposit and etch back a nitride layer to form a nitride spacer 1506, and remove the dielectric insulator 822 as shown in FIG. 8(g).


Moreover, utilize a selective epitaxy growth (SEG) technique to grow intrinsic silicon electrode 1602, as shown in FIG. 8(h). Then deposit and etch back a CVD-STI-oxide3 layer 1702, remove the intrinsic silicon 1602, and form a source region (n+ source) 1704 and a drain region (n+ drain) 1706 of the mMOSFET, as shown in FIG. 8(i). Since the source region (n+ source) 1704 and a drain region (n+ drain) 1706 are formed between the true gate (TG) and the CVD-STI-oxide3 layer 1702 the location of which is originally occupied by the dummy shield gate (DSG), thus, the length and width of the source region (n+ source) 1704 (or a drain region (n+ drain) 1706) is as small as A. The opening of the source region (n+ source) 1704 (or a drain region (n+ drain) 1706) could be less than λ, such as 0.8λ. Such openings could be shrunk if further oxide spacer 1802 is formed, as shown in Fig. FIG. 8(j).


Additionally, the new standard cell makes the first metal interconnection (M1 layer) directly connect Gate, Source and/or Drain regions through self-aligned miniaturized contacts without using a conventional contact-hole-opening mask and/or a Metal-0 translation layer for M1 connections. Following FIG. 8(i), a layer of SOD 1901 is deposited to fill the vacancies on the substrate, including the openings 1804 of the source region (n+ source) 1704 (or a drain region (n+ drain) 1706). Then use CMP to make the surface flat, as shown in FIG. 8(k). FIG. 8(l) is the top view of the FIG. 8(k) and shows multiple fingers in horizontal direction.


Furthermore, as shown in FIG. 8(m), use a well-designed mask and carry out a photo resistance layer 1902 which results in some stripe pattern along the X-axis in FIG. 8(l) with a separate space of the length GROC(L) to expose the area of gate extension region along the Y-axis in FIG. 8(l). The most aggressive design rules with GROC(L)=λ, as shown in FIG. 8(m). Then use an anisotropic etching technique to remove the Nitride-cap layer within the exposed gate extension region to reveal the conductive Metal-gate layer (as shown in FIG. 8(n)).


Thereafter, remove photo resistance layer 1902, and then remove the SOD layers 1901 so that those opening regions on top of both the source region 1704 and the drain region 1706 are revealed again. Then deposit a layer of oxide 1904 with well-designed thickness and then use an anisotropic etching technique to form spacers on the four sidewalls in opening regions of the source region 1704 and the drain region 1706 and the exposed gate extension region 1903. Therefore, a natural built-up contact-hole opening is formed in the exposed gate extension region, the source region 1704 and the drain region 1706, respectively. FIG. 8(o) shows the cross section of such transistor structure. FIG. 8(p) shows top view of such a transistor structure in FIG. 8(o). The vertical length CRMG(L) of the opening in the exposed gate extension region 1903 is smaller than the length GROC(L) which could be A.


Finally, form a layer of Metal-1 1905 which has the well-designed thickness to fill in the holes of all the aforementioned contact-hole openings and result in a smooth planar surface following the topography of the wafer surface. Then use a photolithographic masking technique to create all the connections among those contact-hole openings respectively to achieve the necessary Metal-1 interconnection networks, as shown in FIG. 8(q). FIG. 8(r) is the top view of the mMOSFET 800 shown in FIG. 8(q). So this Metal-1 layer complete the tasks of achieving both the contact-filling and the plug-connection to both Gate and Source/Drain functions as well as a direct interconnection function of connecting all transistors. There is no need to use an expensive and very rigidly controlled conventional contact-hole mask and carrying on the subsequent very difficult process of drilling the contact-hole openings, especially which should be the most difficult challenges in further scaling down the horizontal geometries of billions of transistors. In addition, it eliminates making both a metal plug into the contact-hole openings and a CMP process to achieve a Metal stud with complex integrated processing step (e.g. as definitely required for some leading-edge technology of creating a Metal-Zero structure).


Thereby, the size of the source/drain contact (such as the AA_CT as shown in Fig. FIG. 5(b)) could be as small as λ×λ, no matter the size of the technology node or (or minimum feature size). Similarly, gate contact (such as the Gate_CT for direct connection to the metal-2 layer (M2 as shown in Fig. FIG. 5(b)) could be formed on the gate or Poly line, and the size of the gate contact is λ×λ as well. That is, the linear dimensions of the source, the drain and the gate of the transistors (such as the PMOS transistor and the NMOS transistor in FIG. 5(a)) and the contacts thereof in the standard cell can be precisely controlled, and the linear dimension can be as small as the minimum feature size, Lambda (λ). In the present embodiment, the source/drain contact size would be bigger, such as λ (width in Y direction)×2λ (length in X direction), and the gap between two gate or Poly lines would be larger than 3λ, such as 4 or 5λ.


Moreover, as mentioned, the traditional standard cell may not allow the Gate or Diffusion directly connect to M2 without bypassing the M1 structure. The present invention discloses a new standard cell in which either Gate or Diffusion (Source/Drain) areas to be directly connected to the M2 interconnection layer without a transitional layer M1 in a self-alignment way through one vertical conductive plug being composed of Contact-A and Via1-A which are respectively formed during the construction phases of making Contact and Via1 in the other locations on the same die. As results, the necessary space between one M1 interconnection and the other M1 interconnection and blocking issue in some wiring connections will be reduced.


The following briefly describes a mMOSFET 900 used in the standard cell according to another embodiment of the present invention, in which the Gate and Diffusion (Source/Drain) areas is directly connected to the M2 interconnection layer without a transitional layer M1 in a self-alignment way. FIG. 9(a) is a top view of the constructed phase of the mMOSFET 900, and FIG. 9(b) and FIG. 9(c) are two cross sections of the constructed phase of the transistor along cutline C9A1 and cutline C9A2 shown in FIG. 9(a), respectively.


As shown in FIG. 9(b) and FIG. 9(c), the mMOSFET 900 is formed and limited by a shallow trench isolator (STI) 905. The mMOSFET 900 has a gate terminal 902, a transistor channel region 903 beneath the gate terminal 902 and source/drain regions 904. The gate terminal 902 comprises a gate dielectric layer 902a, a gate conduction layer 902b formed over the gate dielectric layer 902a and a silicon region (or a seed region) 902c formed over the gate conduction layer 902b. The silicon region 902c can be made of polysilicon or amorphous silicon. The gate terminal 902 further includes a capping layer 902d (e.g. a nitride layer) over the top of the silicon region 902c and further includes at least one spacer (e.g., including a nitride spacer 902s1 and a thermal oxide spacer 902s2) over the sidewalls of the gate dielectric layer 902a, the gate conduction layer 902b and the silicon region 902c. The first dielectric layer 920 is formed on the semiconductor substrate 901 at least covering the active area of the mMOSFET 900 including the gate terminal 902 and the source/drain regions 904 as well as the STI 905.


A plurality of open holes (such as the open holes 907a and 907b are formed in the first dielectric layer 920 to reveal the top portion 91 of the silicon 902c region and the top portion 92 of the source/drain regions 904. In some embodiments, the open holes 907a and 907b are formed by a photolithography process to remove portions of the first dielectric layer 920 to exposed the portion the silicon region 902c and the silicon region of the drain terminal of the source/drain regions 904. In one example, each of the open holes 907a and 907b could be a size equal to a minimum feature size (e.g. a critical size of the mMOSFET 900). Of course, the size of the open holes 907a and 907b could be larger than the minimum feature size. The bottoms of the open holes 907a and 907b (i.e. the revealed top portion 91 and the revealed top portion 92) are made of materials with either polycrystalline/amorphous silicon or crystalline silicon with heavily doped concentrations having high conductivity, respectively. The exposed silicon region 902c of the gate terminal and the exposed silicon region of the source/drain terminal are seed regions for the selective epitaxy growth technique (SEG) to grow pillars based on the seed regions.


Then, as shown in FIG. 9(d) to FIG. 9(f), heavily doped conductive silicon plugs (or the conductor pillars) are grown by SEG based on the revealed top portion 91 and the revealed top portion 92, to form the first conductor pillar portion 931a and the third conductor pillar portion 931b. A first dielectric sub-layer 940 is then formed over the first dielectric layer 920 to make the top surface 940s of the first dielectric sub-layer 940 being substantially coplanar with the top surfaces of the first conductor pillar portion 931a and the third conductor pillar portion 931b. Those “Exposed Heads” (or the expose top surface) of the first conductor pillar portion 931a and the third conductor pillar portion 931b can be used as seed portion for the subsequent SEG process. Furthermore, each of the first conductor pillar portions 931a and the third conductor pillar portion 931b has a seed region or seed pillar in the upper portion thereof, and such seed region or seed pillar could be used for the following selective epitaxy growth. Subsequently, a second conductor pillar portion 932a is formed on the first conductor pillar 931a by a second selective epitaxy growth; and a fourth conductor pillar portion 932b is formed on the third conductor pillar portion 931b. FIG. 9(d) is a top view illustrating a structure after the second conductor pillar portion 932a and the fourth conductor pillar portion 932b are formed on the first conductor pillar portions 931a and the third conductor pillar portion 931b respectively, according to one embodiment of the present disclosure. FIG. 9(e) is a cross-sectional view taken along the cutting line C9D2 as depicted in FIG. 9(d). FIG. 9(f) is a cross-sectional view taken along the cutting line C9D1 as depicted in FIG. 9(d).


Furthermore, as shown in FIG. 9(g) to FIG. 9(i), a first conduction layer 950, such as copper (Cu), Aluminum (Al), tungsten (W) or other suitable conductive material, can be deposited on the top surface 940s of the first dielectric sub-layer 940. A second dielectric sub-layer 960 is then deposited on the first conduction layer 950. The first conduction layer 950 and the second dielectric sub-layer 960 are patterned to define an opening hollow 909, wherein the first conductor pillar 930A penetrates through the opening hollow 909 without contacting the first conduction layer 950 and the second dielectric sub-layer 960. FIG. 9(g) is a top view illustrating a structure after the first conduction layer 950 and the second dielectric sub-layer 960 are formed over the first dielectric sub-layer 940 according to one embodiment of the present disclosure. FIG. 9(h) is a cross-sectional view taken along the cutting line C9G1 as depicted in FIG. 9(g). FIG. 9(i) is a cross-sectional view taken along the cutting line C9G2 as depicted in FIG. 9(g).


Moreover, as shown in FIGS. 9(j)-9(I), the upper dielectric layer 970 is deposited to cover the second dielectric sub-layer 960 and the first dielectric sub-layer 940 to fill in the opening hollow 909. A top surface 970s of the upper dielectric layer 970 is lower than the top surface 930t of the first conductor pillar 930A (including the first conductor pillar portion or sub-pillar 931a and the second conductor pillar portion or sub-pillar 932a) and the second conductor pillar 930B (including the third conductor pillar portion or sub-pillar 931b and the fourth conductor pillar portion or sub-pillar 932b). An upper conduction layer 980 is then formed over the upper dielectric layer 970; wherein the first conductor pillar 930A connects to the upper conduction layer 980 but disconnects from the first conduction layer 950. In this example, FIG. 9(j) is a top view illustrating a structure after the conduction layer 980 is formed over the over the upper dielectric layer 970 according to one embodiment of the present disclosure. FIG. 9(k) is a cross-sectional view taken along the cutting line C9J1 as depicted in FIG. 9(j). FIG. 9(I) is a cross-sectional view taken along the cutting line C9J 2 as depicted in FIG. 9(j).


As mentioned, each of the exposed silicon region 702c of the gate terminal and the exposed silicon region of the source/drain terminal has seed regions for the selective epitaxy growth technique (SEG) to grow pillars based on the seed regions. Furthermore, each of the first conductor pillar portions 931a and the third conductor pillar portion 931b also has a seed region or seed pillar in the upper portion thereof, and such seed region or seed pillar could be used for the following selective epitaxy growth. This embodiment could also be applied to allows M1 interconnection (a kind of conductive terminal) or conduction layer to be directly connected to the MX interconnection layer (without connecting to the conduction layers M2, M3, . . . MX−1) in a self-alignment way through one vertical conductive or conductor plug, as long as there is a seed portion or seed pillar on the upper portion of the conductive terminal and the conductor pillar portions configured for following selective epitaxy growth technique. The seed portion or seed pillar is not limited to silicon, and any material which could be used as a seed configured for following selective epitaxy growth is acceptable.


To sum up, at least there are following advantages in the new standard cell and standard cell:

    • (1) The linear dimensions of the source, the drain and the gate of the transistors in the standard cell are precisely controlled, and the linear dimension can be as small as the minimum feature size, Lambda (λ). Therefore, when two adjacent transistors are connected together through the drain/source, the length dimension of the transistor would be as small as 3λ, and the distance between the edges of the gates of the two adjacent transistors could be as small as 2λ. Of course, for tolerance purpose, the length dimension of the transistor would be around 3λ-6λ or larger, the distance between the edges of the gates of the two adjacent transistors could be 8λ or larger.
    • (2) The first metal interconnection (M1 layer) directly connect Gate, Source and/or Drain regions through self-aligned miniaturized contacts without using a conventional contact-hole-opening mask and/or an Metal-0 translation layer for M1 connections.
    • (3) The Gate and/or Diffusion (Source/Drain) areas are directly connected to the metal-2 (M2) interconnection layer without connecting the metal-1 layer (M1) in a self-alignment way. Therefore, the necessary space between one metal-1 layer (M1) interconnection layer and the other metal-1 layer (M1) interconnection layer and blocking issue in some wiring connections will be reduced. Furthermore, same structure could be applied to a lower metal layer is directly connected to an upper metal layer by a conductor pillar, but the conductor pillar is not electrically connected to any middle metal layer between the lower metal layer and the upper metal layer.
    • (4) The metal wires for high level voltage Vdd and/or the low level voltage VSS in the standard cell could be distributed under the original silicon surface of the silicon substrate, thus, the interference among the size of the contacts, among layouts of the metal wires connecting the high level voltage Vdd, and low level voltage Vss, etc. could be avoided even the size of the standard cell is shrunk. Moreover, the openings for the source/drain regions which are originally used to electrically couple the source/drain regions with metal-2 layer (M2) or metal-3 layer (M3) for Vdd or Ground connection could be omitted in the new standard cell and standard cell.


In some alternative embodiments, the conductor pillar could be a metal conductor pillar, or could be a composite conductor pillar with metal conductor pillar and a seed portion or seed pillar on the upper portion thereof. For example, FIG. 10(a) is a top view of a mMOSFET 1000 used in a new standard cell according one embodiment of the present disclosure. FIG. 10(b) is a cross-sectional view taken along the cutting line C10A1 as depicted in FIG. 8(a). FIG. 10(c) is a cross-sectional view taken along the cutting line C10A2 as depicted in FIG. 10(a). In the present embodiment, the conductor pillar mMOSFET 1000 used for connecting the interconnection layer includes a tungsten pillars and a first highly doped silicon pillar and has a seed region or seed pillar in the upper portion thereof.


As shown in FIG. 10(a) to FIG. 10(c), the highly doped N+poly silicon pillars 931a, 932a, 931b, 932b in FIG. 9(j) to FIG. 9(I) could be removed and replaced by tungsten pillars 1030w, the TiN layer 1030n, and the highly doped silicon pillar. A first conductor pillar includes a metal pillar portion 1030A (which includes tungsten pillars 830w and the TiN layer 1030n) and a highly doped silicon pillar 1010a, and a second conductor pillar includes a metal pillar portion 1030B (which includes tungsten pillars 1030w and the TiN layer 1030n) and a highly doped silicon pillar 1010b. The highly doped silicon pillars 1010a and 1010b serve as the seed region or seed pillar for growing the conductor pillars configured for connecting the following formed metal connection. For example, the highly doped silicon pillars 1010a and 1010b can serve as the seed region or seed pillar of the following SEG processes to grow another silicon pillars thereon to connect the first conduction layer 1050a or 1050b that is formed over the first dielectric sub-layer 1040 and electrically connected to the highly doped silicon pillars 1010a and 1010b. The conductor pillar could have a seed region or seed pillar in the upper portion thereof, a borderless contact is fulfilled since the highly doped silicon pillars 1010a and 1010b are the seed region or seed pillar of the conductor pillar configured for following SEG processes to grow another silicon pillars thereon.


In some embodiment, a width of the metal contacting line (such as a first metal sub-layer 1050a or 1050b) could be the same or substantially the same as that of the contact (such as the highly doped silicon pillars 1010a or 1010b). Of course, the width of the metal contacting line could be different from that of the first contact. As shown in FIG. 10(d) to FIG. 10(f), even if the width of the metal conduction line (such as, the first metal sub-layer 1050a or the second metal sub-layer 1050b) is not the same as that of the underneath contact plug (which may be as small as minimum feature size of the highly doped silicon pillars 1010a or 1010b). However, there is misalignment between the metal conduction line and the underneath contact plug, the photolithographic masking misalignment tolerance can cause that the metal conduction line (such as, the first metal sub-layer 1050a or the second metal sub-layer 1050b) cannot fully cover the contact (such as the highly doped silicon pillars 1010a or 1010b, as shown in FIG. 10(e) and FIG. 10(f)), though there is no worry about the resistance between the metal conduction layer and contact may be too high due to shortages of contact areas.


Thus the resistance between the metal conduction line and the underneath contact may be well-controlled. The invention here uses selective growth (such as SEG or other selective deposition method) to grow some extra highly doped silicon material connecting both the metal conduction line and the underneath contact plug to improve the resistance issue incurred by misalignment between the metal conduction line and the underneath contact plug. In the present embodiment, a further SEG process is performed to grow some extra highly doped silicon material (side pillars 1020) to attach the vertical walls of the metal conduction layers 1050a and 1050b. FIG. 10(d) is a top view of another mMOSFET which could be used in a new standard cell according to another embodiment of the present disclosure, FIG. 10(e) is a cross-sectional view taken along the cutting line C10D1 as depicted in FIG. 10(d). FIG. 10(f) is a cross-sectional view taken along the cutting line C10D2 as depicted in FIG. 10(d).


The conventional standard cell may not allow the gate or source/drain directly connect to Metal-2 layers (M2) without bypassing the Metal-1 layers (M1). The present invention discloses a new standard cell structure in which the gate/source/drain could be directly connected to the Metal-2 interconnection layer (M2) without a transitional Metal-1 layer (M1) in a self-alignment way through one vertical conductive plug. The detailed description regarding Gate area/active region directly connected to the Metal-2 interconnection layer (M2) is presented in the U.S. patent application Ser. No. 17/528,957, filed on Nov. 17, 2021 and entitled “INTERCONNECTION STRUCTURE AND MANUFACTURE METHOD THEREOF”, and the whole content of the U.S. patent application Ser. No. 17/528,957 is incorporated by reference herein.


Additionally, the present invention discloses a new MOS structure in which the source and drain regions are fully isolated by insulators, such insulators would not only increase the immunity to the latch-up issue, but also increase the isolation distance into silicon substrate to separate junctions in adjacent transistors so that the surface distance between junctions can be decreased (such as 3λ), so is the size of the standard cell. The following briefly describes a new CMOS structure in which the n+ and p+ regions of the source and drain regions in the NMOS and PMOS transistors respectively are fully isolated by insulators.


Please refer to FIG. 11, FIG. 11 is a diagram illustrating a cross section of the NMOS transistor 51 used in a new standard cell according to another embodiment of the present disclosure. The gate structure 33 comprising a gate dielectric layer 331 and gate conductive layer 332 (such as gate metal) is formed above the horizontal surface or original surface of the semiconductor substrate (such as silicon substrate). A dielectric cap 333 (such as a composite of oxide layer and a Nitride layer) is over the gate conductive layer 332. Furthermore, spacers 34 which may include a composite of an oxide layer 341 and a Nitride layer 342 is used to over sidewalls of the gate structure 33. Trenches are formed in the silicon substrate, and all or at least part of the source region 55 and drain region 56 are positioned in the corresponding trenches, respectively. The source (or drain) region in the MOS transistor 51 may include N+ region or other suitable doping profile regions (such as gradual or stepwise change from N− lightly doped region to heavily doped region).


Furthermore, a localized isolation 48 (such as nitride or other high-k dielectric material) is located in one trench and positioned under the source region, and another localized isolation 48 is located in another trench and positioned under the drain region. Such localized isolation 48 is below the horizontal silicon surface (HSS) of the silicon substrate and could be called as localized isolation into silicon substrate (LISS) 48. The LISS 48 could be a thick Nitride layer or a composite of dielectric layers. For example, the localized isolation or LISS 48 could comprise a composite localized isolation which includes an oxide layer (called Oxide-3V layer 481) covering at least a portion sidewall of the trench and another oxide layer (Oxide-3B layer 482) covering at least a portion bottom wall of the trench. The Oxide-3V layer 481 and Oxide-3B layer 482 could be formed by thermal oxidation process.


The composite localized isolation 48 further includes a nitride layer 483 (called as Nitride-3) being over the Oxide-3B layer 482 and contacting with the Oxide-3V layer 481. It is mentioned that the nitride layer 483 or Nitride-3 could be replaced by any suitable insulation materials as long as the Oxide-3V layer remains most as well as being designed. Furthermore, the STI (Shallow Trench Isolation) region in FIG. 11 could comprises a composite STI 49 which includes a STI-1 layer 491 and a STI-2 layer 492, wherein the STI-1 layer 491 and a STI-2 layer 492 could be made of thick oxide material by different process, respectively.


Moreover, the source (or drain) region in FIG. 11 could comprise a composite source region 55 and/or drain region 56. For example, in the NMOS transistor 51, the composite source region 55 (or drain region 56) at least comprises a lightly doped drain (LDD) 551 and an N+heavily doped region 552 in the trench. Especially, it is noted that the lightly doped drain (LDD) 551 abuts against an exposed silicon surface with a uniform (110) crystalline orientation. The exposed silicon surface has its vertical boundary with a suitable recessed thickness in contrast to the edge of the gate structure, which is labeled in FIG. 11 as TEC (Thickness of Etched-away Transistor-body Well-Defined to be the Sharp Edge of Effective Channel Length). The exposed silicon surface is substantially aligned with the gate structure. The exposed silicon surface could be a terminal face of the channel of the transistor.


The lightly doped drain (LDD) 551 and the N+heavily doped region 552 could be formed based on a selective growth method (such as, Selective Epitaxial Growth (SEG) technique, selective deposition, or other suitable technology which may be Atomic Layer Deposition ALD or selective growth ALD-SALD) to grow silicon from the exposed TEC area which is used as crystalline seeds to form new well-organized (110) lattice across the LISS region which has no seeding effect on changing (110) crystalline structures of newly formed crystals of the composite source region 55 or drain region 56. Such newly formed crystals (including the lightly doped drain (LDD) 551 and the N+heavily doped region 552) could be named as TEC-Si.


In one embodiment, the TEC is aligned or substantially aligned with the edge of the gate structure 33, and the length of the LDD 551 is adjustable, and the sidewall of the LDD 551 opposite to the TEC could be aligned or substantially aligned with the sidewall of the spacer 34. The composite source (or drain) region could further comprise some Tungsten (or other suitable metal materials) plugs 553 formed in a horizontal connection to the TEC-Si portion for completion of the entire source/drain regions. As shown in FIG. 11 the active channel current flowing to future Metal interconnection such as Metal-1 layer is gone through the LDD 551 and the N+heavily-doped region 552 to Tungsten 553 (or other metal materials) which is directly connected to Metal-1 by some good Metal-to-Metal Ohmic contact with much lower resistance than the traditional Silicon-to-Metal contact.


The source/drain contact resistance of the NMOS transistor 51 can be kept for a reasonable range according to the structure of the merged metal-semiconductor junction utilized in the source/drain structure, as shown in FIG. 11. This merged metal-semiconductor junction in the source/drain structure can improve current crowding effect and reduce contact resistance. Additionally, because the bottom of source/drain structure is isolated from the substrate due to the bottom oxide (Oxide-3B layer 482) (shown in FIG. 11), the n+ to n+ or p+ to p+isolation can be kept within a reasonable range. Therefore, the spacing between two adjacent active regions of the PMOS transistor (not shown) could be scaled down to 2λ. The bottom oxide (Oxide-3B layer 482) can significantly reduce source/drain junction leakage current and then reduces n+ to n+ or p+ to p+leakage current.


Furthermore, in conventional standard cell, the metal wires for high level voltage Vdd and low level voltage Vss (or Ground) are distributed above the original silicon surface of the silicon substrate, and such distribution will interfere with other metal wires if there no enough spaces among those metal wires. The present invention discloses a new standard cell in which the metal wires for high level voltage Vdd and/or the low level voltage Vss could be distributed under the original silicon surface of the silicon substrate, thus, the interference among the size of the contacts, among layouts of the metal wires connecting the high level voltage Vdd, and low level voltage Vss, etc. could be avoided even the size of the standard cell is shrunk.


In another embodiment, in the drain region of the NMOS 51, without the Oxide-3B layer 482 and the nitride layer 483, the Tungsten or other metal materials 553 could be directly coupled to the P-well which is electrically coupled to Vdd. Similarly, in other embodiment, in the source region of the NMOS 51, the Tungsten or other metal materials 553 could be directly coupled to the p-well or P-substrate which is electrically coupled to Ground. Thus, the openings for the source/drain regions which are originally used to electrically couple the source/drain regions with metal-2 layer (M2) or metal-3 layer (M3) for Vdd or Ground connection could be omitted in the new standard cell and standard cell. The detailed description for the structure of the aforesaid structure and the manufacture process thereof is presented in the U.S. patent application Ser. No. 16/991,044, filed on Aug. 12, 2020 and entitled: “TRANSISTOR STRUCTURE AND RELATED INVERTER”, and the whole content of the U.S. patent application Ser. No. 16/991,044 is incorporated by reference herein.



FIG. 12(a) is a top view illustrating a combination structure of the PMOS transistor 52 and the NMOS transistor 51 used in a new standard cell according to one embodiment of the present embodiment. FIG. 12(b) is a cross-sectional view of the PMOS transistor 52 and the NMOS transistor 51 taking along the outline (X-axis) in FIG. 12(a). As shown in FIG. 12(b), it results in a much longer path from the n+/p junction through the p-well (or p-substrate)/n-well junction to the n/p+junction. The possible Latch-up path from the LDD-n/p junction through the p-well/n-well junction to the n/LDD-p junction includes the length {circle around (1)}, the length {circle around (2)} (the length of the bottom wall of one LISS region), the length a, the length {circle around (3)}, the length {circle around (4)}, the length {circle around (5)}, the length {circle around (6)}, the length {circle around (7)} (the length of the bottom wall of another LISS region), and the length {circle around (8)} marked in FIG. 12(b). On the other hand, in traditional CMOS structure which combines the PMOS transistor 12 and NMOS transistor 11 shown in FIG. 2, the possible Latch-up path from the n+/p junction through the p-well/n-well junction to the n/p+junction just includes the length custom-character, the length custom-character, the length custom-character, and the length custom-character (as shown in FIG. 2). Such possible Latch-up path of FIG. 12(b) is longer than that in FIG. 2. Therefore, from device layout point of view, the reserved edge distance (Xn+Xp) between the PMOS transistor 52 and the NMOS transistor 51 in FIG. 12(b) could be smaller than that in FIG. 2. For example, the reserved edge distance (Xn+Xp) could be around 2˜4λ, such as 3λ.


Furthermore, unlike the conventional standard cell, the present invention utilized cross-shape Localized Isolation into Silicon Substrate (LISS) (e.g. Nitride-3+Oxide-3) between the PMOS transistor 52 and the NMOS transistor 51 of the standard cell, such that the possible latch-up path between the PMOS transistor 52 and the NMOS transistor 51 could be longer than that in a conventional CMOS, and the latch-up distance or the reserved edge distance between the PMOS transistor 52 and the NMOS transistor 51 could be shorter than that used in conventional standard cell.


Therefore, the latch-up distance between the PMOS transistor 52 and the NMOS transistor 51 in the present invention is as small as 8λ, no matter the size of the technology node or (or minimum feature size). In the present invention, the n+ and p+ regions of the source and drain regions in the NMOS and PMOS transistors respectively are fully isolated by insulators, such insulators would not only increase the immunity to Latch-up issue, but also increase the isolation distance into silicon substrate to separate junctions in PMOS transistor 52 and the NMOS transistor 51 so that the surface distance between junctions can be decreased. The detailed description for the new combination structure of the PMOS and MNOS is presented in the U.S. patent application Ser. No. 17/318,097, field on May 12, 2021 and entitled “COMPLEMENTARY MOSFET STRUCTURE WITH LOCALIZED ISOLATIONS IN SILICON SUBSTRATE TO REDUCE LEAKAGES AND PREVENT LATCH-UP”, and the whole content of the U.S. patent application Ser. No. 17/318,097 is incorporated by reference herein.


To solve small I-on current issue when the source/drain contact size is shrunk, the present invention here could further use selective growth method (such as, selective epitaxy growth (SEG) technology) to grow a thin channel layer 1001 covering the original body of the active regions (such as a fin structure 1003) under the gate structure 33 of the PMOS transistor 52 (or the NMOS transistor 51) to enhance the electron/hole mobility. For example, FIG. 12(c) is a cross-sectional view of the PMOS transistor 52 and the NMOS transistor 51 taking along the outline (Y-axis) in FIG. 12(a). The thin channel layer 1001 is a doped channel layer formed without applying an ion implantation. Furthermore, the thin channel layer 1001 is not part of the original silicon substrate, thus, the thin channel layer 1001 is independent from the semiconductor substrate. Moreover, in one embodiment, the thin channel layer 1001 covers a first sidewall and a second sidewall of the fin structure 1003 and does not cover a top surface of the fin structure 1003. In another embodiment, the thin channel layer 1001 comprises a top portion (not shown) covering a top surface of the fin structure 1003 and a side portion covering a first sidewall and a second sidewall of the fin structure, and the top portion and the side portion are not simultaneously formed. The detailed description for the improved I-on current of the transistors is presented in the U.S. Provisional Application No. 63/226,787, field on Jul. 29, 2021 and entitled “New Transistor Structure and Processing Method Thereof”, and the whole content of the U.S. Provisional Application No. 63/226,787 is incorporated by reference herein.


According to the above-mentioned, the standard cell in which an inverter is accommodated (such as the new inverter standard cell 500 as shown in FIG. 5(a) and FIG. 5(b)) has area size (2×Cpp×Cell_Height) of 192λ2 in the new invention structure, and such area size in terms of λ2 will almost be the same at least from technology node 22 nm down to 5 nm in comparison with the conventional products provided by various companies (such as the A_company, the B_company and the C_company) as shown in FIG. 13. FIG. 13 is a diagram illustrating the comparison result among the area size of the new standard cell provided by the preset invention and that of the conventional products provided by various other companies.


However, the layout styles and the area size of the new standard cell are not limited to these regards. In some other embodiments, the present invention could be utilized in various standard cells (such as the standard cells with one-single NOR cell, one-single NAND cell, NOR cell×2 or NAND cell×2) with different layout styles and cell sizes (such as 3×Cpp×Cell_Height, or 5× Cpp×Cell_Height).


For example, FIG. 14(a) to FIG. 14(f) are top views and the corresponding equivalent circuit diagrams of the standard cells with one-single NOR cell and one-single NAND cell according to some embodiments of the present disclosure. FIG. 14(g) to FIG. 14(i) are top views and the corresponding equivalent circuit diagrams of the standard cells with Inverter cell×2, NOR cell×2 and NAND cell×2 according to some embodiments of the present disclosure. Wherein the standard cells with one-single NOR cell, one-single NAND cell and Inverter cell×2 may have the cell size of 3×Cpp×Cell_Height; and the standard cells with NOR cell×2 and NAND cell×2 may have the cell size of 5×Cpp×Cell_Height.


This invention develops a compact layout style in a new standard cell design. In some embodiment of the present disclosure, the new compact layout style can enable the standard cell has an area size of λ2 which can be independent from the technology scaling in term of A (Lambda is the minimum feature of size of the technology node). With the layout design described in this document, the area size of the standard cell across different technology nodes can stay flat or less sensitive to the technology nodes. In addition, the Latch-up issue is not enlarged as the size of the standard cell scaling down. Moreover, in the standard cell of the present invention (such as, inverter, NAND, NOR, etc.), there could be (1) no dummy fin, (2) only one dummy fin, or (3) two dummy fins disposed between the NMOS transistor and the PMOS transistor of the standard cell.


While the invention has been described by way of example and in terms of the preferred embodiment (s), it is to be understood that the invention is not limited thereto. On the contrary, it is intended to cover various modifications and similar arrangements and procedures, and the scope of the appended claims therefore should be accorded the broadest interpretation so as to encompass all such modifications and similar arrangements and procedures.

Claims
  • 1. A standard cell, comprising: a substrate with a well region;a plurality of transistors including a first type transistor and a second transistor, wherein the first type transistor is formed within the well region and the second type transistor is formed outside the well region;a plurality of contacts coupled to the plurality of transistors;at least one input line electrically coupled to the plurality of transistors;an output line electrically coupled to the plurality of transistors;a VDD contacting line electrically coupled to the plurality of transistors; anda VSS contacting line electrically coupled to the plurality of transistors;wherein the first type transistor includes a first set of fin structures electrically coupled together, the second type transistor includes a second set of fin structures electrically coupled together, and a gap between the first type transistor and the second type transistor is not greater than 3×Fp minus λ, wherein Fp is a pitch distance between two adjacent fin structures in the first type transistor and λ is a minimum feature size of the standard cell.
  • 2. The standard cell according to claim 1, wherein a width of the fin structure in the first type transistor is Fw, and the gap between the first type transistor and the second type transistor is not greater than 3×Fp minus Fw, and Fw is greater than λ.
  • 3. The standard cell according to claim 1, wherein the pitch distance Fp between two adjacent fin structures in the first type transistor is 3λ.
  • 4. The standard cell according to claim 3, wherein the gap between the first type transistor and the second type transistor is substantially equal to 5λ.
  • 5. The standard cell according to claim 1, wherein the pitch distance Fp between two adjacent fin structures in the first type transistor is 3.5λ.
  • 6. The standard cell according to claim 5, wherein the gap between the first type transistor and the second type transistor is substantially equal to 2.5λ.
  • 7. A standard cell, comprising: a plurality of transistors, the plurality of the transistors including a PMOS transistor and a NMOS transistor;a plurality of contacts coupled to the plurality of transistors;at least one input line electrically coupled to the plurality of transistors;an output line electrically coupled to the plurality of transistors;a VDD contacting line electrically coupled to the plurality of transistors; anda VSS contacting line electrically coupled to the plurality of transistors;wherein the PMOS transistor includes a first set of fin structures electrically coupled together, the NMOS transistor includes a second set of fin structures electrically coupled together, and there is no fin structure located between the PMOS transistor and the NMOS transistor during the formation of the first set of fin structures and the second set of fin structures.
  • 8. The standard cell according to claim 7, wherein a gap between an edge of the PMOS transistor and an edge of the NMOS transistor is smaller than a pitch distance between two adjacent fin structures in the PMOS transistor.
  • 9. The standard cell according to claim 7, wherein the pitch distance Fp between two adjacent fin structures in the PMOS transistor is 3.5λ.
  • 10. The standard cell according to claim 9, wherein the gap between the first PMOS transistor and the NMOS transistor is substantially equal to 2.5λ.
  • 11. A standard cell, comprising: a plurality of transistors;a set of contacts coupled to the plurality of transistors;at least one input line electrically coupled to the plurality of transistors;an output line electrically coupled to the plurality of transistors;a VDD contacting line electrically coupled to the plurality of transistors; anda VSS contacting line electrically coupled to the plurality of transistors;wherein as a minimum feature size (λ) of the standard cell gradually decreases from 22 nm, an area size of the standard cell in terms of λ2 is the same or substantially the same.
  • 12. The standard cell according to claim 11, wherein the standard cell is an inverter cell, a NAND cell, or a NOR cell.
  • 13. A standard cell comprising: a plurality of transistors;a set of contacts coupled to the plurality of transistors;at least one input line electrically coupled to the plurality of transistors;an output line electrically coupled to the plurality of transistors; anda metal contacting line electrically coupled to a first contact of the set of contacts;wherein the first contact is not fully covered by the metal contacting line.
  • 14. The standard cell according to claim 13, wherein a width of the metal contacting line is the same or substantially the same as that of the first contact.
  • 15. The standard cell according to claim 13, further comprising a highly doped silicon plug formed on a portion of the first contact which is not covered by the metal contacting line, wherein the highly doped silicon plug contacts to the metal contacting line.
  • 16. A standard cell, comprising: a plurality of transistors;a set of contacts coupled to the plurality of transistors;a first metal line electrically coupled to the plurality of transistors; anda second metal line electrically coupled to the plurality of transistors, wherein the second metal line is above the first metal line;wherein at least one of the set of contacts directly connects to the second metal line without though the first metal line.
  • 17. The standard cell according to claim 16, wherein the at least one of the set of contacts is the gate contact.
  • 18. A standard cell, comprising: a plurality of transistors;a set of contacts coupled to the plurality of transistors;a first metal line electrically coupled to the plurality of transistors; anda second metal line electrically coupled to the plurality of transistors;wherein the plurality of transistors are formed based on a semiconductor substrate, at least one of the plurality of transistors comprises a fin structure and a channel layer covering the fin structure;wherein the channel layer is independent from the semiconductor substrate and is a doped layer formed without applying an ion implantation.
  • 19. The standard cell according to claim 18, wherein the channel layer covers a first sidewall and a second sidewall of the fin structure and does not cover a top surface of the fin structure.
  • 20. The standard cell according to claim 18, wherein the channel layer comprises a top portion covering a top surface of the fin structure and a side portion covering a first sidewall and a second sidewall of the fin structure, and the top portion and the side portion are not simultaneously formed.
CROSS REFERENCE TO RELATED APPLICATIONS

This application claims the benefit of U.S. provisional application Ser. No. 63/248,586, filed Sep. 27, 2021, the subject matter of which is incorporated herein by reference.

Provisional Applications (1)
Number Date Country
63248586 Sep 2021 US