Strained transistor with optimized drive current and method of forming

Information

  • Patent Grant
  • 8558278
  • Patent Number
    8,558,278
  • Date Filed
    Tuesday, September 4, 2007
    16 years ago
  • Date Issued
    Tuesday, October 15, 2013
    10 years ago
Abstract
A strain-induced layer is formed atop a MOS device in order to increase carrier mobility in the channel region. The dimension of the strain-induced layer in preferred embodiments may lead to an optimized drive current increase and improved drive current uniformity in an NMOS and PMOS device. An advantage of the preferred embodiments is that improved device performance is obtained without adding complex processing steps. A further advantage of the preferred embodiments is that the added processing steps can be readily integrated into a known CMOS process flow. Moreover, the creation of the photo masks defining the tensile and compressive strain-induced layers does not require extra design work on an existed design database.
Description
TECHNICAL FIELD

The present invention relates generally to semiconductor devices, particularly to strained MOS transistors, and more particularly to strained MOS transistors with a strained layer formed over source/drain and gate regions, where carrier mobility is enhanced in a channel region.


BACKGROUND

While the scaling down of the device dimensions in a semiconductor integrated circuit continues, maintaining high drive current at scaled voltages and smaller gate dimensions becomes more important. Device drive current is closely related to gate length, gate capacitance, and carrier mobility. Different technology innovations have been made to address this issue. For example, strained silicon technology is demonstrated to boost carrier mobility in a MOS transistor without narrowing channel length. High-K (dielectric constant) gate dielectric is adopted to increase gate capacitance. A metal-gate electrode is used to increase gate capacitance and, therefore, increase the device drive current. A nonplanar device structure such as a FinFET transistor is developed to enable steeper channel-length scaling. Among these efforts, strained silicon technology has been demonstrated to significantly increase carrier mobility without adding much complexity into the existing manufacturing process.


With strained silicon technology, a silicon atom in a MOS transistor is displaced in its lattice. The displacement significantly reconfigures the energy band structure in the silicon to accelerate the flow of electrons and holes, thus increasing device drive current. Strain can be applied to a MOS transistor in different ways. One way to develop strain in a MOS transistor is by selectively forming an epitaxial layer of SiGe (silicon germanium) at the source/drain regions of a conventional MOS transistor. Because the lattice constant of the SiGe is larger than that of Si, the channel region between the two SiGe source/drain is placed under compressive stress. This device configuration enhances hole mobility in the channel region, thus increasing the drive current of a PMOS device. Conversely, a layer of silicon can be formed atop a relaxed SiGe layer. MOS transistors are then formed on the silicon layer. Due to the lattice constant mismatch between Si and SiGe, the Si layer is under constant biaxial, in-plane tensile strain. This device configuration has a benefit of enhancing the electron mobility in an NMOS device.


Strain can also be applied by forming a strained layer on a MOS transistor. The strained layer is also generally referred to as a strain-induced layer, stress layer, contact etching stop (CES) layer, or CES trained layer. In forming a CES layer, a silicon nitride film is deposited over a completed MOS transistor covering the source/drain regions, gate electrode and spacers. Because of the lattice spacing mismatch between the CES layer and underlying layer, an in-plane stress develops to match the spacing. A CES layer thus formed may exhibit different film stress over a broad range, from tensile to compressive, by controlling the N—H, Si—H and Si—N bond ratios in the CES layer and optimizing deposition conditions such as power, temperature and pressure in the processing chamber. It has been revealed that in-plane tensile stress in the channel region enhances electron mobility, thus increasing drive current in an NMOS device, and compressive stress parallel to channel length direction can enhance hole mobility, thus improving PMOS device performance.



FIG. 1 illustrates a strained NMOS and PMOS device of prior art formed in proximity on a silicon substrate 1. Shallow trench isolations (STI) 10 are formed in the silicon substrate 1 to isolate the NMOS device from the PMOS device. A tensile CES layer 14 formed atop the NMOS device introduces an in-plane tensile strain in the channel region 11, and therefore improves the drive current of the NMOS device. A compressive CES layer 16 formed atop the PMOS device introduces a compressive strain in the channel region 13, and therefore improves the drive current of the PMOS device. Although it is observed that the improvement on drive current is influenced by CES layer parameters such as the level of stress, the layer thickness, and the layer dimension. Little is revealed from prior art on how and in what manner these parameters affect the drive current in each type of MOS transistors. This situation has kept the current CES strained silicon technique more of a rule of thumb approach, where little can be done on device and process parameters to obtain an optimized increase on the device drive current. Moreover, in the prior art CES strained MOS transistors, the uniformity between enhanced MOS transistor drive currents is poor and scaled increase in drive current is difficult to achieve. This may result in detrimental effects in an integrated circuit such as skewed switching threshold, deteriorated noise margin, increased device time delay, and even a collapse of logic.


In view of these and other problems in the prior CES strain efforts to enhance carrier mobility and improve device performance, there is a need for a method of obtaining an optimized drive current increase with desired uniformity by fine tuning CES layer parameters in advanced MOS transistors.


SUMMARY OF THE INVENTION

These and other problems are generally solved or circumvented, and technical advantages are generally achieved, by preferred embodiments of the present invention which provide a CES strained NMOS and PMOS devices having CES layer dimensions in a specific range, which can lead to an optimized drive current increase and improved drive current uniformity in an NMOS and PMOS device, and method of forming.


In accordance with one preferred embodiment of the present invention, a semiconductor device formed in a substrate comprises an OD region, a gate region overlying the OD region having an gate electrode on top, a source and drain region formed on the opposite side of the gate region, substantially aligned with an edge of the gate region and the edges of the OD region, a compressive-stress layer having a first and second edge substantially conformally over the gate electrode and the OD region, wherein the space between a gate electrode edge and the first edge is greater than 0.4 um, wherein the space between an OD edge and the second edge is in the range of between about 60 nm to about 400 nm.


In accordance with another preferred embodiment of the present invention, a semiconductor device formed in a semiconductor substrate comprises a first PMOS transistor formed in a P-type OD region with a first and second edge, having a first poly gate electrode overlying the P-type OD region, parallel to the first edge, an first NMOS transistor formed in an N-type OD region, having a second poly gate electrode overlying said N-type OD region, a first compressive-stress layer having a first and second edge substantially conformally over the first gate electrode and the P-type OD region, wherein the space between an edge of the first gate electrode and the first edge of the compressive-stress layer is greater than 0.4 um, wherein the space between the second P-type OD edge and the second edge of the compressive-stress layer is in the range of between about 60 nm to about 400 nm.


In accordance with yet another preferred embodiment of the present invention, a PMOS transistor formed in a P-type active region (OD) in a semiconductor substrate comprises a gate region overlying the OD region having a poly gate electrode on the top, a source and drain region formed on the opposite side of the gate region, substantially aligned with an edge of the gate region and the edges of the OD region, a compressive-stress layer substantially conformally over the gate electrode and the OD region having a first and second edge, wherein the space between an edge of the gate electrode and the first edge of the compressive-stress layer is in the range of one to two times either P or G, whichever is larger, where P is the minimum design rule gate poly to P-type OD dimension, and G is the minimum design rule poly-to-poly spacing on an P-type OD region, wherein the space between an P-type OD edge and the second edge of the compressive-stress layer is in the range of between about one-third to about two-thirds of the sum of L and H, where L is the minimum P-type OD to N-well boundary dimension and H is the minimum spacing between an N-type OD and an N-well boundary.


An advantage of the preferred embodiments of the present invention is that it provides an optimized drive current increase in a CES strained PMOS device without adding complex processing steps. CES strained MOS transistors also exhibit improved drive current uniformity.


A further advantage of a preferred embodiment of the present invention is that the added process steps can be readily integrated into a known CMOS process flow. Moreover, the creation of the photo masks defining the tensile and compressive CES layers does not require rework on an existed design database, and no extra design rules are imposed on the design and layout engineers.





BRIEF DESCRIPTION OF THE DRAWINGS

For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:



FIG. 1 is a cross-sectional view of a strained NMOS and PMOS device of prior art formed in proximity on a silicon substrate;



FIG. 2 illustrates the formation of a CES strained PMOS transistor P1 in a preferred embodiment;



FIG. 3A shows a cross sectional view of a PMOS transistor P1 in a preferred embodiment with a CES strained layer formed on the top;



FIG. 3B illustrates a top view of the CES strained PMOS transistor P1 shown in FIG. 3A;



FIGS. 4A-4B illustrate the results from a wafer acceptance test (WAT) in obtaining the optimized range of ENx and ENy;



FIGS. 5A-5B illustrate that CES strained PMOS devices formed with optimized ENx and ENy also exhibit an improved drive current uniformity;



FIGS. 6A-6B illustrates the quantitative relationship between optimized ENx, ENy and minimum design rule dimensions and spacings of a certain processing technology;



FIG. 7 is a flow chart illustrating the steps of forming the CES layers in a CMOS manufacturing process in a preferred embodiment;



FIGS. 8A-8H illustrate cross-sectional views corresponding to process steps as described in FIG. 7; and



FIG. 9 shows a CES strained PMOS transistor P1 in a preferred embodiment having a rotated orientation.





DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS

The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.


The present invention will be described with respect to preferred embodiments in a specific context, namely CES strained NMOS and PMOS devices with an optimized drive current and method of forming. MOS devices thus formed also exhibit an improved uniformity among drive currents. The intermediate stages of manufacturing a preferred embodiment of the present invention are illustrated. The variations of the preferred embodiments are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.



FIG. 2 illustrates the formation of a CES strained PMOS transistor P1 in a preferred embodiment. P1 is formed in an N-well 2, which is formed on a silicon substrate 1. In other embodiments, P1 is formed in a bulk N-type silicon substrate. In yet other embodiments, a substrate made of strained semiconductor, compound semiconductor, multi-layers semiconductor or silicon on insulator (SOI), strained silicon-on-insulator (SSOI), strained silicon germanium on insulator (S—SiGeOI), silicon germanium on insulator (SiGeOI), germanium on insulator (GeOI), and the like, can be used to form P1 from therein. Shallow trench isolations (STI) 10 are formed in the silicon substrate 1 to isolate P1 from adjacent devices. Preferably, the STIs 10 are formed by etching shallow trenches in the silicon substrate 1, and filling the trenches with an insulator such as silicon dioxide (SiO2).


A gate dielectric 4 is deposited on the surface of the silicon substrate 1. The gate dielectric 4 may preferably be SiO2 formed by any of the known methods, such as thermal oxidation, local oxidation of silicon (LOCOS), chemical vapor deposition (CVD), etc. Silicon nitride can also be used since it is an effective barrier to impurity diffusion. The silicon nitride film is preferably formed by thermal nitridation of silicon. It can also be prepared by plasma anodic nitridation using nitrogen-hydrogen. The silicon nitride film may also be formed by thermal nitridation of SiO2. The gate dielectric may also be oxy-nitride, oxygen-containing dielectric, nitrogen-containing dielectric, high-k materials or any combinations thereof.


A gate electrode 6 is formed on gate dielectric 4. The gate electrode 6 is preferably polysilicon (referred to hereafter as “poly”), although it may be formed of metal, or a compound structure comprising a metal, semiconductor, metal oxide and/or silicide. The preferred method of formation is CVD. Other embodiments may use amorphous silicon, elemental metals that are conductive, alloys of elemental metals that are conductive, silicides or nitrides of elemental metals that are conductive, or any combination thereof. Typically, the gate electrode 6 and gate dielectric 4 are deposited as layers and then patterned to form a gate. A pair of spacers 8 is formed along the sidewalls of the gate dielectric 4 and gate electrode 6. As known in the art, spacers 8 are preferably formed by blanket depositing a dielectric layer over an entire region, then, anisotropically etching to remove the dielectric layer from horizontal surfaces, thus leaving spacers 8. FIG. 2 illustrates rectangular spacers.


In the preferred embodiment, the source/drain regions 12 are formed by implanting P-type impurities, such as boron, into the N-well 2. The spacers 8 are used as a mask so that edges of the source/drain regions 12 are substantially aligned with the spacers 8. Gate electrode 6 is preferably also implanted to reduce sheet resistance. In other embodiments, the source/drain regions 12 are formed by recessing the source/drain regions 12 followed by epitaxially growing silicon, SiGe, or SiC in the recesses with a desired dopant. This structure provides a compressive stress to the channel of the PMOS device and enhances hole mobility. In yet other embodiments, source/drain regions 12 are formed by expitaxially growing silicon, SiGe, or SiC with desired dopant on the top surface of the silicon substrate 1. Preferably, a SiO2 film is formed in regions where no source and drain is to be formed. The subsequently deposited epitaxial film on the SiO2 film can be removed. In the source/drain regions (i.e., where substrate surface is exposed), epitaxial film is grown.


To reduce the resistance of the gate electrode 6 and source/drain regions 12, an optionally silicide layer (not shown) may be formed on the top of gate electrode 6 and source/drain regions 12 by a silicide process. The silicide is preferably NiSi2, CoSi2, TiSi2, or the like. To form a silicide layer, a thin layer of metal such as cobalt, nickel, titanium, or the like, is sputtered over the device. The device is then annealed to form a silicide between the deposited metal and the underlying exposed silicon regions. Un-reacted metal is removed by an etch process.


Next, as shown in FIG. 3A in a cross sectional view, a strain-inducing layer 14 is formed. Although this layer is preferably a CES layer and is interchangeably referred to as CES layer 14 throughout the description, it can be any strained layer or layers, even if the layer does not perform an etch stop function. The strain-inducing layer 14 may also be a composite layer (represented in FIG. 3A by the dashed line within the CES layer 14) comprising a CES layer and other layers. As previously discussed, this layer is strained in order to enhance the device performance. The types and strengths of the strain, also sometimes referred to as stress, are determined by the deposition process and material used. Generally, if a strained material has a smaller lattice constant than the underlying material, the strained material will have an inherent compressive strain and the underlying material will have an inherent tensile strain after relaxation. Conversely, if a strained material has a greater lattice constant than the underlying material, the strained material will have an inherent tensile strain and the underlying material will have an inherent compressive strain after relaxation.


In FIG. 3A, CES layer 14 is preferably formed of materials such as silicon nitride, oxynitride, oxide, silicon germanium or any combinations, generating compressive strain in the PMOS channel region. In other embodiments, a strained layer may be formed of materials such as silicon nitride, oxynitride, oxide, SiC, SiCN, CoSi2 (Co silicide), NiSi2 (Ni silicide), or any combinations, to create tensile strain in the channel region of an NMOS device. As known in the art, the type and magnitude of the strain are affected by the relative properties of the CES layer 14 and the underlying material.


Strain can also be adjusted by the type and concentration of impurities in the underlying material, which includes forming an epitaxial layer (not shown) in the source/drain regions 12. In one preferred embodiment, an epitaxial layer of silicon germanium is formed in the silicon source/drain regions 12, which typically increases the material's lattice constant (because germanium has a larger lattice constant). This structure provides a compressive stress to the channel of the PMOS device and enhances hole mobility. In another embodiment, a silicon carbon epitaxial layer is formed in the silicon source/drain regions of an NMOS transistor, which typically decreases the material's lattice constant (because carbon has a smaller lattice constant). This structure provides a tensile stress to the channel of the NMOS device and enhances electron mobility.


In a preferred embodiment, a CES layer 14 comprises a dielectric material. In alternative embodiments, a CES layer 14 comprises semiconductors, metals, and combinations thereof. The CES layer 14 may also be in the form of a single layer or composite layers. An advantageous feature of such material, as explained herein, is that these materials have an inherent stress when deposited, which induces a strain in the underlying material. In preferred embodiments, the CES layer 14 has a thickness from about 5 nm to about 500 nm.



FIG. 3B illustrates a top view of the strained PMOS transistor P1 as shown in FIG. 3A. The CES layer 14 is formed over the active region, which includes the source/drain regions 12 and channel region 11. An active region defines the dimension of a MOS transistor and is hereafter referred to as an “OD” region. The CES layer 14 may also cover the N-well region (as shown in FIG. 3A). Although CES layer 14 is shown as one layer, it can be formed of different layers. In the preferred embodiments, a photo mask named PILD is used to pattern the CES layer 14. To clarify the description, the horizontal distance between the edge of poly gate 6 and the edge of CES layer 14 is referred to hereinafter as ENx. The vertical distance between the OD edge and the edge of strained layer 14 is referred to hereinafter as ENy. It is revealed from the preferred embodiments that, of each technology generation, a CES layer 14 having an ENx and ENy in a specific range can lead to an optimized PMOS drive current increase and an improved drive current uniformity, when compared with a CES strained MOS transistor, where no limitation is imposed on ENx and ENy. A CES strained PMOS device without limitations on the CES layer dimension is from hereinafter referred to as a baseline PMOS device.


In preferred embodiments, the values of an optimized range of ENx and ENy corresponding to a technology generation are obtained through a wafer acceptance test (WAT) on a plurality of CES strained core transistors, such as that shown in FIG. 3B. A core transistor has a generic MOS transistor configuration where a single poly gate is formed over an OD region. A core transistor is so called herein to distinguish itself from a MOS transistor with more complex structures, such as one with multiple poly gate fingers, and one with a single poly gate and multiple dummy poly fingers on an OD region.


In obtaining the optimized range of ENx and ENy of a certain technology generation, a plurality of CES strained PMOS core transistors are formed on a silicon wafer scribe line. These transistors have a minimum channel length allowable by the specific technology generation (sometimes referred to as transistors with “on-rule” channel length) and channel width of various values. In one embodiment, a plurality of CES strained PMOS core transistors are provided, having a channel length of 65 nm and channel width (W) of 1 μm, 0.6 μm, and 0.14 μm. These transistors also have a fixed ENy of about 70 nm and ENx of various dimensions. Drive current (Idsat) is measured on each transistor, aiming to obtain an ENx corresponding to a maximum Idsat improvement. FIG. 4A shows the results from the WAT test. The plotting has an ENx on the horizontal coordinate and scaled Idsat improvement on the vertical coordinate, both in linear scale. Lines 20, 22, 24 illustrate the scaled drive current improvement upon a baseline PMOS device as a function of ENx. Lines 20, 22, and 24 correspond to transistors having a channel width of 1 μm, 0.6 μm, and 0.14 μm, respectively. It is shown that, significant increase of Idsat in the channel region is obtained when ENx reaches 0.4 μm. When ENx increases, Idsat in the channel region continues to increase. When ENx reaches about 1.8 μm, maximum Idsat increase is obtained on PMOS transistors with various channel width. Idsat remains substantially unchanged when ENx continues to increase.


A similar test is carried out to obtain the optimized range of ENy. In one embodiment, PMOS transistors used for obtaining the optimized range of ENy have a fixed ENx of about 0.5 μm and ENy of various dimensions. FIG. 4B shows results obtained from measuring the drive current with different ENy values. The plotting has an ENy on the horizontal coordinate in logarithmic scale and drive current (Idsat) improvement on the vertical coordinate in linear scale. Lines 26, 28, and 30 correspond to transistors used to form lines 20, 22 and 24 in FIG. 4A. It is shown that, significant increase of Idsat in the channel region is obtained when ENy reaches 60 nm. When ENy increases, Idsat in the channel region continues to increase. The drive current Idsat maxes out when ENy reaches about 200 nm. Idsat drops significantly, however, when ENy exceeds 400 nm.



FIGS. 5A-5B illustrate that CES strained PMOS devices formed with optimized ENx and ENy also exhibit an improved uniformity (scaled increase) among the enhanced drive currents. In FIG. 5A, a PMOS transistor P1 having a single poly gate 6 is formed over an OD region. P1 has a gate length of 0.14 μm and gate width of 0.4 μm. The poly to OD dimension is 0.5 μm. A first plurality of PMOS device samples having the foregoing dimension is provided. A compressive CES 14 with desired ENx and ENy of about 0.7 μm and 70 nm is formed on each PMOS device sample, covering the OD region. Idsat is measured on each sample and plotted as square points in FIG. 5B. The vertical axis of FIG. 5B represents the cumulative percentage, which is used to illustrate the distribution of measured drive current Idsat. The solid diamonds in FIG. 5B are Idsat values measured on a second plurality of counterpart prior art CES strained PMOS devices, without constraints on the dimensions of ENx and ENy. It can be seen from FIG. 5B that Idsat from the embodied CES strained PMOS devices has an Idsat distribution of from about 480 μA/μm to about 550 μA/μm, while Idsat from the prior art CES strained devices has a distribution of from about 450 μA/μm to about 580 μA/μm. The Idsat uniformity (the quotient of standard deviation over mean value) is enhanced from about 7% to about 4%. The same trend has been observed through similar comparisons on PMOS devices of various configurations, such as PMOS with multiply poly fingers, PMOS with multiply dummy poly fingers formed over OD region, foregoing PMOS structures with various poly pitches.



FIGS. 6A-6B illustrates the quantitative relationship between optimized ENx, ENy and minimum design rule dimensions and spacings of a certain processing technology. These limitations were obtained through wafer acceptance tests (WAT) similar to those described above and have been adopted in the embodied manufacturing processes as described below. It is revealed from FIG. 6A that an optimized ENx corresponding to an optimized drive current increase and optimized drive current uniformity is in the range of one to two times either P or G, whichever is larger, where P is the minimum gate poly to P-type OD dimension, and G is the minimum poly-to-poly spacing on an P-type OD region. It is revealed from FIG. 6B that an ENy leading to an optimized drive current increase and optimized drive current uniformity lies in the range of between about one-third to about two-thirds of the sum of L and H, where L is the minimum P-type OD to N-well boundary dimension and H is the minimum spacing between an N-type OD and an N-well.


Similar wafer acceptance test (WAT) has been conducted on CES strained NMOS core transistors. A CES layer is formed over a plurality of NMOS transistors of various configurations to create in-plane tensile strain in the channel region. Although a similar trend is observed where ENx and ENy within a range as described above can lead to improved drive current enhancement and improved drive current uniformity, the effect is less significant than that of a CES strained PMOS device.



FIG. 7 is a flow chart illustrating the steps of forming the CES layers in a CMOS manufacturing process in a preferred embodiment. FIGS. 8A-8F illustrate cross-sectional views after each processing step described in FIG. 7. To simplify the description, one PMOS device P1 adjacent to an NMOS device N1 is shown in each cross-sectional view. It should be understood that a processing step applied on P1 applies to all PMOS devices on the substrate, and a processing step applied on N1 applies to all NMOS devices on the substrate.



FIG. 8A shows a portion of an initial substrate where PMOS device P1 and NMOS device N1 have been formed in semiconductor substrate 2 through a known CMOS manufacturing process. P1 and N1 have a source/drain region 12p, 12n, and gate region 6p and 6n, respectively. STIs 10 are used to isolation P1 and N1.


According to STEP 11 in FIG. 7, a tensile CES layer 16 is formed over the substrate, aiming to create tensile strain in the channel region 13 of N1. Layer 16 is made of materials such as silicon nitride, oxynitride, oxide, SiC, SiCN, CoSi2 (Co silicide), NiSi2 (Ni silicide), or any combinations. In one preferred embodiment, CES layer 16 has a thickness of from about 5 nm to about 500 nm. The substrate after STEP 11 is shown in FIG. 8B.


At a STEP 12 in FIG. 7, a photo mask NILD is developed and a photolithography process is conducted to pattern the CES layer 16 over NMOS device N1 with desired ENx and ENy. In developing an NILD photo mask, the desired ENx and ENy values for an NMOS device (obtained through WAT test on NMOS core transistors as described earlier) are provided to an automatic photo mask generating process (also known as “logical operation process” in the art). Also input to said logical operation process are layout information of N-TYPE OD regions, P-well regions, and poly regions on a substrate, which is usually included in the finished design database provided by layout designers of an IC product, as known in the art. The logical operation process will first identify the N-TYPE OD regions on the substrate, where NMOS devices are formed. The logical operation process will then identify the poly regions 6n on the foregoing N-TYPE OD regions. Subsequently, the logical operation process will create a photolithography pattern, such that the distance between its horizontal edge and the N-TYPE OD edge is ENy, and the distance from its vertical edge to a gate poly 6n edge is ENx. Other operations carried out by the logical operation process include merging two CES layer patterns of same stress type having overlapping edges, merging two adjacent CES layer patterns of same stress type when the space between their edges is less than a pre-determined distance. In creating the photo mask, optical proximity correction (OPC) may be employed to take into account the errors introduced by photolithography system, as known to those skilled in the art. Known photolithography and etch processes are used to pattern CES layer 16 on N1. A result is shown in FIG. 8C in both cross-sectional and top view.


Further, as described in STEP 13 of FIG. 7, a compressive CES layer 14 is formed over the substrate, aiming to create compressive strain in the channel region 11 of PMOS transistor P1. CES layer 14 is made of materials such as silicon nitride, oxynitride, oxide, silicon germanium or any combinations. In one preferred embodiment, CES layer 14 has a thickness of about the same as that of layer 16. In other embodiments, the thickness of CES layer 14 may be substantially different from that of CES layer 16, in order to balance the drive current between P1 and N1. The substrate after STEP 13 is shown in FIG. 8D.


In STEP 14 of FIG. 7, a photo mask PILD is developed and a photolithography process is conducted to pattern the CES layer 14 over PMOS devices with desired ENx′ and ENy′. In doing so, the desired ENx′ and ENy′ values corresponding to optimized PMOS performance are provided to a logical operation process, together with layout information of P-TYPE OD regions, N-well regions, and poly regions on a substrate. The logical operation process will first identify the P-TYPE OD regions on the substrate, where PMOS devices are formed. The logical operation process will then identify the poly regions 6p on the foregoing P-TYPE OD regions. Subsequently, the logical operation process will create a photolithography pattern, such that the distance between its horizontal edge and the P-TYPE OD edge is ENy′, and the distance from its vertical edge to a gate poly edge is ENx′. After mask layer PILD is developed, known photolithography and etch processes are used to pattern the CES layer 14 on the P1. A result is shown in FIG. 8E in both cross-sectional and top view.


After the formation of the patterned compressive CES layer 14 on PMOS devices and patterned tensile CES layer 16 on NMOS devices, a blanket deposition of SiO2 through CVD is conducted to form a first inter-layer dielectric layer (ILD), although other known materials and methods of forming an ILD layer are not excluded. A planarization process, such as chemical mechanical polishing (CMP) process may then be applied to create a flat substrate surface, as shown in FIG. 8F. Known CMOS manufacturing processes can continue from this point by, for example, cutting contact openings through the ILD where contacts to source/drain regions 12p, 12n and gate electrodes 6p, 6n are needed.


It can be recognized that, after the current processing step, the CES layers formed on substrate 2 surface may have the following lateral configuration. Between MOS transistors of same conductivity type, the adjacent CES layers may be in a tensile-ILD-tensile or compressive-ILD-compressive configuration. Between MOS transistors of opposite conductivity type, the adjacent CES layers have a tensile-ILD-compressive configuration.


In other embodiments, after the formation of the patterned compressive CES layer 14 on PMOS devices and patterned tensile CES layer 16 on NMOS devices, a tensile film 14′ or a compressive film 16′ may be formed filling the lateral space between adjacent CES layers on substrate 2 surface in order to balance the stresses in the CES layers 14 and 16, thus reaching a desired drive current balance between adjacent NMOS and PMOS devices.


In an additional embodiment, a plurality of PMOS and a plurality of NMOS transistors are formed on a semiconductor substrate through the processes described above. Each of the PMOS and NMOS transistors is covered by a compressive CES layer and a tensile CES layer, respectively, with desired ENx and ENy. In the current embodiment, the CES layer configurations between adjacent MOS devices may include tensile-compressive-tensile, compressive-tensile-compressive, tensile-tensile-tensile, compressive-compressive-compressive, tensile-compressive-compressive, and compressive-tensile-tensile. Various CES layer configurations between adjacent MOS devices are shown in FIG. 8G.


In a further embodiment, a PILD photo mask is first developed by a logical operation process described above to pattern compressive CES layer 14 for optimized PMOS performance. The NILD photo mask is then developed as the reverse of the PILD mask, thus eliminating the cost of creating a dedicated NILP mask. After the processing steps shown in FIG. 7, CES layers cover the entire substrate, as shown in FIG. 8H. This CES layer configuration casts little negative impact on NMOS device performance, because, as described earlier, an NMOS device is less sensitive to ENx and ENy of a tensile CES layer 16 formed thereon. Instead, CES layers thus formed alleviate reliability concerns created by previous embodiments, such as voids formed between adjacent CES layer edges, film edge peeling during subsequent process steps.


In an even further embodiment, a single photo mask PILD is developed by a logical operation process to pattern compressive CES layer 14 for optimized PMOS performance. The same mask layer is applied a second time on negative photoresist to pattern tensile CES layer 16. An NILD photo mask is not necessary, thus further reducing the cost of mask development.


As can be recognized by those skilled in the art, the preferred embodiments improve device performance without adding complex processing steps. Moreover, the added process steps can be readily integrated into a known CMOS process flow. Furthermore, the creation of NILD and PILD photo masks does not require additional works or changes on an existed design database. Optimized ENx and ENy corresponding to a certain technology node apply to all design projects developed using the same technology. No extra design rules are imposed on design and layout engineers.


Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. As an additional example shown in FIG. 9, a CES strained PMOS transistor P1 is rotated from the orientation of the previous embodiments such that the opposing edges of poly gate 6, P-TYPE OD region and the CES strained layers 14 are not aligned with the horizontal direction 32 and vertical direction 34. In this case, ENx is the shortest distance between the edge of poly gate 6 and the edge of strained layer 14, while ENy is the shortest distance between the P-TYPE OD edge and the edge of strained layer 14. Furthermore, it will be readily understood by those skilled in the art that materials, process steps, process parameters in forming the preferred embodiments may be varied while remaining within the scope of the present invention.


Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims
  • 1. A semiconductor device formed in a substrate comprising: an active region;a gate electrode overlying the active region;a source region and a drain region formed on opposite sides of the gate electrode, the source region and the drain region being substantially aligned with the electrode; anda strain-induced layer substantially conformally over the gate electrode and the active region;wherein a first shortest distance between a first gate edge of the gate electrode and a first outermost edge of the strain-induced layer along a direction parallel to a current flow between the source region and the drain region in a plan view is greater than 0.4 um, wherein the strain-induced layer extends a shorter distance from the first gate edge than the active region in the direction parallel to the current flow;wherein a second shortest distance between a first edge of the active region and a second outermost edge of the strain-induced layer along a direction perpendicular to the current flow between the source region and the drain region in a plan view is between about 60 nm to about 400 nm.
  • 2. The semiconductor device of claim 1 is a PMOS transistor and said strain-induced layer is a compressive-stress layer.
  • 3. The semiconductor device of claim 2 wherein said compressive-stress layer comprises one of SiN (silicon nitride), oxynitride, oxide, SiGe or the combinations of the elements above.
  • 4. The semiconductor device of claim 1 wherein said substrate is one of an N-well formed on a silicon substrate, a bulk silicon substrate, a substrate made of strained semiconductor, compound semiconductor, multi-layers semiconductor, silicon on insulator (SOI), and the like.
  • 5. The semiconductor device of claim 1 wherein said strain-induced layer has a multi-layers configuration.
  • 6. The semiconductor device of claim 1 wherein said strain-induced layer has a thickness from about 5 nm to about 500 nm.
  • 7. The semiconductor device of claim 1 wherein said source region and drain region further comprises a material having a lattice constant different from that of the surrounding substrate material.
  • 8. A semiconductor device formed in a semiconductor substrate comprising: a first PMOS transistor formed in a P-type active region, the first PMOS transistor comprising a first poly gate electrode overlying the P-type active region;an first NMOS transistor formed in an N-type active region, the first NMOS transistor comprising a second poly gate electrode overlying said N-type active region; anda first compressive-stress layer substantially conformally over the first poly gate electrode and the P-type active region;wherein a first shortest distance in a first direction parallel with a current flow of the first PMOS transistor and between the first poly gate electrode and a first outermost edge of the first compressive-stress layer is greater than 0.4 um, wherein at least a portion of the first outermost edge of the first compressive-stress layer is located over the P-type active region;wherein a second shortest distance in a second direction perpendicular with the current flow of the first PMOS transistor and between the P-type active region and a second outermost edge of the first compressive-stress layer is in a range of between about 60 nm to about 400 nm.
  • 9. The semiconductor device of claim 8, wherein said first compressive-stress layer comprises one of SiN (silicon nitride), oxynitride, oxide, SiGe or the combinations of the elements above.
  • 10. The semiconductor device of claim 8, wherein the first NMOS transistor further comprises a first tensile-stress layer substantially conformally over the second gate electrode and said N-type active region.
  • 11. The semiconductor device of claim 10, wherein said first tensile-stress layer comprises one of SiN (silicon nitride), oxynitride, oxide, SiC, SiCN, CoSi2 (Co silicide), NiSi2 (Ni silicide), or the combinations of the elements above.
  • 12. The semiconductor device of claim 10, wherein the lateral space between said first tensile-stress layer and said first compressive-stress layer is filled with one of an ILD layer, a dielectric layer having tensile-stress and a dielectric layer having compressive-stress.
  • 13. The semiconductor device of claim 10 further comprises a second PMOS transistor and a second NMOS transistor, covered with a second compressive-stress layer and a second tensile-stress.
  • 14. The semiconductor device of claim 10, wherein said first tensile-stress layer substantially conformally over the portion of the substrate, which is not over with the compressive-stress layer, respectively; wherein the lateral space between said first and second tensile-stress layers and the lateral space between said first and second compressive-stress layers is filled with one of an ILD layer, a dielectric layer having tensile-stress and a dielectric layer having compressive-stress.
  • 15. The semiconductor device of claim 10, wherein said tensile-stress layer and said compressive-stress layer have a different film thickness.
  • 16. The semiconductor device of claim 10, wherein the first PMOS and the first NMOS transistor further comprise a source and drain region, respectively, wherein said source and drain region comprise an epitaxial layer having a lattice constant different from that of the surrounding substrate material.
  • 17. A PMOS transistor formed in a P-type active region (OD) in a semiconductor substrate comprising: a gate region overlying the OD region having a poly gate electrode on the top;a source and drain region formed on the opposite side of the gate region, substantially aligned with an edge of the gate region and the edges of the OD region;a compressive-stress layer substantially conformally over the gate electrode and the OD region, the compressive-stress layer having a first and second edge, wherein the first edge is an outermost edge of the compressive-stress layer;wherein the space between an edge of the gate electrode and the first edge of the compressive-stress layer is in the range of one to two times either P or G, whichever is larger, where P is the minimum design rule gate poly to P-type OD dimension, and G is the minimum design rule poly-to-poly spacing on a P-type OD region; andwherein the space between a P-type OD edge and the second edge of the compressive-stress layer is in the range of between about one-third to about two-thirds of the sum of L and H, where L is the minimum P-type OD to N-well boundary dimension and H is the minimum spacing between an N-type OD and an N-well boundary; andwherein the compressive-stress layer has at least a portion of the first edge located over the OD region.
  • 18. The PMOS transistor of claim 17 wherein said compressive-stress layer comprises one of SiN (silicon nitride), oxynitride, oxide, SiGe or the combinations of the elements above.
  • 19. The PMOS transistor of claim 17 wherein said source and drain region further comprises a material having a lattice constant different from that of the surrounding substrate material.
  • 20. The PMOS transistor of claim 17 has a rotated orientation such that the opposing edges of said poly gate electrode are not aligned with a horizontal and a vertical direction.
Parent Case Info

This application claims the benefit of U.S. Provisional Application No. 60/880,563, filed on Jan. 16, 2007, entitled “Dual Contact Etching Stop Layer Scheme for Advanced Device Control,” which application is hereby incorporated herein by reference.

US Referenced Citations (196)
Number Name Date Kind
4069094 Shaw et al. Jan 1978 A
4314269 Fujiki Feb 1982 A
4497683 Celler et al. Feb 1985 A
4631803 Hunter et al. Dec 1986 A
4892614 Chapman et al. Jan 1990 A
4946799 Blake et al. Aug 1990 A
4952993 Okumura Aug 1990 A
5130773 Tsukada Jul 1992 A
5155571 Wang et al. Oct 1992 A
5273915 Hwang et al. Dec 1993 A
5338960 Beasom Aug 1994 A
5378919 Ochiai Jan 1995 A
5447884 Fahey et al. Sep 1995 A
5461250 Burghartz et al. Oct 1995 A
5479033 Baca et al. Dec 1995 A
5525828 Bassous et al. Jun 1996 A
5534713 Ismail et al. Jul 1996 A
5596529 Noda et al. Jan 1997 A
5629544 Voldman et al. May 1997 A
5656524 Eklund et al. Aug 1997 A
5708288 Quigley et al. Jan 1998 A
5714777 Ismail et al. Feb 1998 A
5763315 Benedict et al. Jun 1998 A
5783850 Liau et al. Jul 1998 A
5789807 Correale, Jr. Aug 1998 A
5811857 Assaderaghi et al. Sep 1998 A
5936276 Maurelli et al. Aug 1999 A
5955766 Ibi et al. Sep 1999 A
5965917 Maszara et al. Oct 1999 A
5972722 Visokay et al. Oct 1999 A
6008095 Gardner et al. Dec 1999 A
6015993 Voldman et al. Jan 2000 A
6027988 Cheung et al. Feb 2000 A
6046487 Benedict et al. Apr 2000 A
6059895 Chu et al. May 2000 A
6096591 Gardner et al. Aug 2000 A
6100153 Nowak et al. Aug 2000 A
6100204 Gardner et al. Aug 2000 A
6103599 Henley et al. Aug 2000 A
6107125 Jaso et al. Aug 2000 A
6111267 Fischer et al. Aug 2000 A
6190996 Mouli et al. Feb 2001 B1
6222234 Imai Apr 2001 B1
6232163 Voldman et al. May 2001 B1
6256239 Akita et al. Jul 2001 B1
6258664 Reinberg Jul 2001 B1
6281059 Cheng et al. Aug 2001 B1
6291321 Fitzgerald Sep 2001 B1
6294834 Yeh et al. Sep 2001 B1
6303479 Snyder Oct 2001 B1
6339232 Takagi Jan 2002 B1
6358791 Hsu et al. Mar 2002 B1
6387739 Smith, III May 2002 B1
6396137 Klughart May 2002 B1
6396506 Hoshino et al. May 2002 B1
6407406 Tezuka Jun 2002 B1
6413802 Hu et al. Jul 2002 B1
6414355 An et al. Jul 2002 B1
6420218 Yu Jul 2002 B1
6420264 Talwar et al. Jul 2002 B1
6429061 Rim Aug 2002 B1
6433382 Orlowski et al. Aug 2002 B1
6448114 An et al. Sep 2002 B1
6448613 Yu Sep 2002 B1
6475838 Bryant et al. Nov 2002 B1
6475869 Yu Nov 2002 B1
6489215 Mouli et al. Dec 2002 B2
6489664 Re et al. Dec 2002 B2
6489684 Chen et al. Dec 2002 B1
6495900 Mouli et al. Dec 2002 B1
6498359 Schmidt et al. Dec 2002 B2
6518610 Yang et al. Feb 2003 B2
6521952 Ker et al. Feb 2003 B1
6524905 Yamamichi et al. Feb 2003 B2
6525403 Inaba et al. Feb 2003 B2
6541343 Murthy et al. Apr 2003 B1
6555839 Fitzgerald Apr 2003 B2
6558998 Belleville et al. May 2003 B2
6573172 En et al. Jun 2003 B1
6576526 Kai et al. Jun 2003 B2
6586311 Wu Jul 2003 B2
6600170 Xiang Jul 2003 B1
6617643 Goodwin-Johansson Sep 2003 B1
6621131 Murthy et al. Sep 2003 B2
6633070 Miura et al. Oct 2003 B2
6646322 Fitzgerald Nov 2003 B2
6653700 Chau et al. Nov 2003 B2
6657259 Fried et al. Dec 2003 B2
6657276 Karlsson et al. Dec 2003 B1
6674100 Kubo et al. Jan 2004 B2
6686247 Bohr Feb 2004 B1
6690082 Lakshmikumar Feb 2004 B2
6720619 Chen et al. Apr 2004 B1
6724019 Oda et al. Apr 2004 B2
6734527 Xiang May 2004 B1
6737710 Cheng et al. May 2004 B2
6740535 Singh et al. May 2004 B2
6759717 Sagarwala et al. Jul 2004 B2
6762448 Lin et al. Jul 2004 B1
6784101 Yu et al. Aug 2004 B1
6794764 Kamal et al. Sep 2004 B1
6797556 Murthy et al. Sep 2004 B2
6798021 Ipposhi et al. Sep 2004 B2
6803641 Papa Rao et al. Oct 2004 B2
6812103 Wang et al. Nov 2004 B2
6815288 Kim Nov 2004 B2
6821840 Wieczorek et al. Nov 2004 B2
6830962 Guarini et al. Dec 2004 B1
6861318 Murthy et al. Mar 2005 B2
6867101 Yu Mar 2005 B1
6867433 Yeo et al. Mar 2005 B2
6872610 Mansoori et al. Mar 2005 B1
6882025 Yeo et al. Apr 2005 B2
6885084 Murthy et al. Apr 2005 B2
6891192 Chen et al. May 2005 B2
6900502 Ge et al. May 2005 B2
6924181 Huang et al. Aug 2005 B2
6936506 Buller et al. Aug 2005 B1
6936881 Yeo et al. Aug 2005 B2
6939814 Chan et al. Sep 2005 B2
6940705 Yeo et al. Sep 2005 B2
6969618 Mouli Nov 2005 B2
6982433 Hoffman et al. Jan 2006 B2
6998311 Forbes et al. Feb 2006 B2
7029994 Ge et al. Apr 2006 B2
7052964 Yeo et al. May 2006 B2
7071052 Yeo et al. Jul 2006 B2
7081395 Chi et al. Jul 2006 B2
7101742 Ko et al. Sep 2006 B2
7112495 Ko et al. Sep 2006 B2
7164163 Chen et al. Jan 2007 B2
7193269 Toda et al. Mar 2007 B2
7220630 Cheng et al. May 2007 B2
7268024 Yeo et al. Sep 2007 B2
7303955 Kim Dec 2007 B2
7354843 Yeo et al. Apr 2008 B2
7442967 Ko et al. Oct 2008 B2
7528028 Liang et al. May 2009 B2
20010028089 Adan Oct 2001 A1
20020008289 Murota et al. Jan 2002 A1
20020031890 Watanabe et al. Mar 2002 A1
20020045318 Chen et al. Apr 2002 A1
20020074598 Doyle et al. Jun 2002 A1
20020076899 Skotnicki et al. Jun 2002 A1
20020125471 Fitzgerald et al. Sep 2002 A1
20020140031 Rim Oct 2002 A1
20020153549 Laibowitz et al. Oct 2002 A1
20020163036 Miura et al. Nov 2002 A1
20020190284 Murthy et al. Dec 2002 A1
20030001219 Chau et al. Jan 2003 A1
20030030091 Bulsara et al. Feb 2003 A1
20030080361 Murthy et al. May 2003 A1
20030080386 Ker et al. May 2003 A1
20030080388 Disney et al. May 2003 A1
20030098479 Murthy et al. May 2003 A1
20030136985 Murthy et al. Jul 2003 A1
20030162348 Yeo et al. Aug 2003 A1
20030181005 Hachimine et al. Sep 2003 A1
20030183880 Goto et al. Oct 2003 A1
20030227013 Currie et al. Dec 2003 A1
20040016972 Singh et al. Jan 2004 A1
20040018668 Maszara Jan 2004 A1
20040026765 Currie et al. Feb 2004 A1
20040029323 Shimizu et al. Feb 2004 A1
20040063300 Chi Apr 2004 A1
20040070035 Murthy et al. Apr 2004 A1
20040087098 Ng et al. May 2004 A1
20040104405 Huang et al. Jun 2004 A1
20040108598 Cabral, Jr. et al. Jun 2004 A1
20040129982 Oda et al. Jul 2004 A1
20040140506 Singh et al. Jul 2004 A1
20040173815 Yeo et al. Sep 2004 A1
20040179391 Bhattacharyya Sep 2004 A1
20040217448 Kumagai et al. Nov 2004 A1
20040262683 Bohr et al. Dec 2004 A1
20040266116 Mears et al. Dec 2004 A1
20050012087 Sheu et al. Jan 2005 A1
20050029601 Chen et al. Feb 2005 A1
20050035369 Lin et al. Feb 2005 A1
20050035410 Yeo et al. Feb 2005 A1
20050082522 Huang et al. Apr 2005 A1
20050093078 Chan et al. May 2005 A1
20050136584 Boyanov et al. Jun 2005 A1
20050186722 Cheng et al. Aug 2005 A1
20050224986 Tseng et al. Oct 2005 A1
20050224988 Tuominen Oct 2005 A1
20050236694 Wu et al. Oct 2005 A1
20050266632 Chen et al. Dec 2005 A1
20050269650 Pidin Dec 2005 A1
20060001073 Chen et al. Jan 2006 A1
20060121727 Metz et al. Jun 2006 A1
20070040225 Yang Feb 2007 A1
20070267680 Uchino et al. Nov 2007 A1
20080296695 Yang Dec 2008 A1
20090230439 Wang et al. Sep 2009 A1
20100078725 Hou et al. Apr 2010 A1
Foreign Referenced Citations (3)
Number Date Country
0 683 522 Nov 1995 EP
0 828 296 Mar 1998 EP
WO-03017336 Feb 2003 WO
Non-Patent Literature Citations (57)
Entry
“1-D and 2-D Geometry Effects in Uniaxially-Strained Dual Etch Stop Layer Stressor Integrations” by Grudowski et al. 2006 Symposium on VLSI Technology Digest of Technical Papers, 01-4244-0005-8/06/$20.00 (c) 2006 IEEE.
“Future Gate Stack,” SEMATECH Inc., 2001 Annual Report, 2 pages.
Bednar, T. R., et al., “Issues and Strategies for the Physical Design of System-on-a-Chip ASICs,” IBM Journal of Research and Development, vol. 46, No. 6, Nov. 2002, pp. 661-674.
Bianchi, R. A., et al., “Accurate Modeling of Trench Isolation Induced Mechanical Stress Effects on MOSFET Electrical Performance,” IEDM, 2002, pp. 117-120.
Blaauw, D., et al., “Gate Oxide and Subthreshold Leakage Characterization, Analysis and Optimization,” date unknown, 2 pages.
Cavassilas, N., et al., “Capacitance-Voltage Characteristics of Metal-Oxide-Strained Semiconductor Si/SiGe Heterostructures,” Nanotech 2002, vol. 1, pp. 600-603.
Celik, M., et al., “A 45 nm Gate Length High Performance SOI Transistor for 100nm CMOS Technology Applications,” 2002 Symposium on VLSI Technology Digest of Technical Papers, IEEE, pp. 166-167.
Chang, L., et al., “Direct-Tunneling Gate Leakage Current in Double-Gate and Ultrathin Body MOSFETs,” IEEE Transactions on Electron Devices, vol. 49, No. 12, Dec. 2002, pp. 2288-2295.
Chang, L., et al., “Reduction of Direct-Tunneling Gate Leakage Current in Double-Gate and Ultra-Thin Body MOSFETs,” IEEE, 2001, 4 pages.
Chau, R., et al., “A 50nm Depleted-Substrate CMOS Transistor (DST),” IEDM, 2001, pp. 621-624.
Chen, W., et al., “Suppression of the SOI Floating-Body Effects by Linked-Body Device Structure,” 1996 Symposium on VLSI Technology Digest of Technical Papers, IEEE, pp. 92-93.
Fung, S. K. H. et al., “Gate Length Scaling Accelerated to 30nm Regime Using Ultra-Thin Film PD-SOI Technology,” IEDM, 2001, pp. 629-632.
Gámiz, F., et al., “Electron Transport in Strained Si Inversion Layers Grown on SiGe-on-Insulator Substrates,” Journal of Applied Physics, vol. 92, No. 1, Jul. 1, 2002, pp. 288-295.
Gámiz, F. et al., “Strained-Si/SiGe-on-Insulator Inversion Layers: The Role of Strained-Si Layer Thickness on Electron Mobility,” Applied Physics Letters, vol. 80, No. 22, Jun. 3, 2002, pp. 4160-4162.
Ge, C.-H., et al., “Process-Strained Si (PSS) CMOS Technology Featuring 3D Strain Engineering,” IEDM, 2003, pp. 73-76.
Geppert, L., “The Amazing Vanishing Transistor Act,” IEEE Spectrum, Oct. 2002, pp. 28-33.
Ghani, T., et al., “A 90nm High Volume Manufacturing Logic Technology Featuring Novel 45nm Gate Length Strained Silicon CMOS Transistors,” IEDM, 2003, pp. 978-980.
Huang, X., et al., “Sub-50 nm P-Channel FinFET,” IEEE Transactions on Electron Devices, vol. 48, No. 5, May 2001, pp. 880-886.
Ismail, K., et al., “Electron Transport Properties of Si/SiGe Heterostructures: Measurements and Device Implications,” Applied Physics Letters, vol. 63, No. 5, Aug. 2, 1993, pp. 660-662.
Ito, S., et al., “Mechanical Stress Effect of Etch-Stop Nitride and Its Impact on Deep Submicron Transistor Design,” IEDM, 2000, pp. 247-250.
Jurczak, M., et al., “Silicon-on-Nothing (SON)—an Innovative Process for Advanced CMOS,” IEEE Transactions on Electron Devices, vol. 47, No. 11, Nov. 2000, pp. 2179-2187.
Jurczak, M., et al., “SON (Silicon on Nothing)—A New Device Architecture for the ULSI Era,” 1999 Symposium on VLSI Technology Digest of Technical Papers, IEEE, pp. 29-30.
Kanda, Y., “A Graphical Representation of the Piezoresistance Coefficients in Silicon,” IEEE Transactions on Electron Devices, vol. ED-29, No. 1, Jan. 1982, pp. 64-70.
Leitz, C. W., et al., “Channel Engineering of SiGe-Based Heterostructures for High Mobility MOSFETs,” Materials Research Society Symposium Proceedings, vol. 686, 2002, pp. 113-118.
Leitz, C. W. et al., “Hole Mobility Enhancements in Strained Si/Si1-yGey P-Type Metal-Oxide-Semiconductor Field-Effect Transistors Grown on Relaxed Si1-xGex (x<y) Virtual Substrates,” Applied Physics Letters, vol. 79, No. 25, Dec. 17, 2001, pp. 4246-4248.
Liu, K. C., et al., “A Novel Sidewall Strained-Si Channel nMOSFET,” IEDM, 1999, pp. 63-66.
Maiti, C. K., et al., “Film Growth and Material Parameters,” Application of Silicon-Germanium Heterostructure, Ch. 2, Institute of Physics Publishing, pp. 32-42.
Matthews, J. W., “Defects Associated with the Accommodation of Misfit between Crystals,” The Journal of Vacuum Science and Technology, vol. 12, No. 1, Jan./Feb. 1975, pp. 126-133.
Matthews, J. W., et al., “Defects in Epitaxial Multilayers—I. Misfit Dislocations,” Journal of Crystal Growth, vol. 27, 1974, pp. 118-125.
Matthews, J. W., et al., “Defects in Epitaxial Multilayers—II. Dislocation Pile-Ups, Threading Dislocations, Slip Lines and Cracks,” Journal of Crystal Growth, vol. 29, 1975, pp. 273-280.
Matthews, J. W., et al., “Defects in Epitaxial Multilayers—III. Preparation of Almost Perfect Multilayers,” Journal of Crystal Growth, vol. 32, 1976, pp. 265-273.
Mizuno, T., et al., “Novel SOI p-Channel MOSFETs with Higher Strain in Si Channel Using Double SiGe Heterostructures,” IEEE Transactions on Electron Devices, vol. 49, No. 1, Jan. 2002, pp. 7-14.
Nayak, D. K., et al., “Enhancement-Mode Quantum-Well GexSi1-x PMOS,” IEEE Electron Device Letters, vol. 12, No. 4, Apr. 1991, pp. 154-156.
Ootsuka, F., et al., “A Highly Dense, High-Performance 130nm Node CMOS Technology for Large Scale System-on-a-Chip Applications,” IEDM, 2000, pp. 575-578.
Ota, K., et al., “Novel Locally Strained Channel Technique for High Performance 55nm CMOS,” IEDM, 2002, pp. 27-30.
Rim, K., et al., “Fabrication and Analysis of Deep Submicron Strained-Si N-MOSFET's,” IEEE Transactions on Electron Devices, vol. 47, No. 7, Jul. 2000, pp. 1406-1415.
Rim, K., “Strained Si Surface Channel MOSFETs for High-Performance CMOS Technology,” IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 2001, pp. 116-117.
Schüppen, A., et al., “Mesa and Planar SiGe-HBTs on MBE-Wafers,” Journal of Materials Science: Materials in Electronics, vol. 6, 1995, pp. 298-305.
Scott, G., et al., “NMOS Drive Current Reduction Caused by Transistor Layout and Trench Isolation Induced Stress,” IEDM, 1999, pp. 827-830.
Shahidi, G. G., “SOI Technology for the GHz Era,” IBM Journal of Research and Development, vol. 46, No. 2/3, Mar./May 2002, pp. 121-131.
Shimizu, A., et al., “Local Mechanical-Stress Control (LMC): A New Technique for CMOS-Performance Enhancement,” IEDM, 2001, pp. 433-436.
Tezuka, T., et al., “High-Performance Strained Si-on-Insulator MOSFETs by Novel Fabrication Processes Utilizing Ge-Condensation Technique,” 2002 Symposium on VLSI Technology Digest of Technical Papers, IEEE, pp. 96-97.
Thompson, S., et al., “A 90 nm Logic Technology Featuring 50nm Strained Silicon Channel Transistors, 7 Layers of Cu Interconnects, Low k ILD, and 1 um2 SRAM Cell,” IEDM, 2002, pp. 61-64.
Tiwari, S. et al., “Hole Mobility Improvement in Silicon-on-Insulator and Bulk Silicon Transistors Using Local Strain,” IEDM, 1997, pp. 939-941.
Wang, L. K., et al., “On-Chip Decoupling Capacitor Design to Reduce Switching-Noise-Induced Instability in CMOS/SOI VLSI,” Proceedings of the 1995 IEEE International SOI Conference, Oct. 1995, pp. 100-101.
Welser, J. et al., “NMOS and PMOS Transistors Fabricated in Strained Silicon/Relaxed Silicon-Germanium Structures,” IEDM, 1992, pp. 1000-1002.
Wong, H.-S. P., “Beyond the Conventional Transistor,” IBM Journal of Research and Development, vol. 46, No. 2/3, Mar./May 2002, pp. 133-167.
Yang, F.-L., et al., “25 nm CMOS Omega FETs,” IEDM, 2002, pp. 255-258.
Yang, F.-L., et al., “35nm CMOS FinFETs,” 2002 Symposium on VLSI Technology Digest of Technical Papers, IEEE, pp. 104-105.
Yeo, Y.-C., et al., “Enhanced Performance in Sub-100 nm CMOSFETs Using Strained Epitaxial Silicon-Germanium,” IEDM, 2000, pp. 753-756.
Yeoh, J. C., et al., “MOS Gated Si:SiGe Quantum Wells Formed by Anodic Oxidation,” Semiconductor Science and Technology, vol. 13, 1998, pp. 1442-1445.
Grudowski, P., et al., “1-D and 2-D Geometry Effects in Uniaxially-Strained Dual Etch Stop Layer Stressor Integrations,” 2006 Symposium on VLSI Technology Digest of Technical Papers, IEEE, 2006, 2 pages.
Uejima, K., et al., “Highly Efficient Stress Transfer Techniques in Dual Stress Liner CMOS Integration,” 2007 Symposium on VLSI Technology Digest of Technical Papers, Paper 12A-4, IEEE, 2007, pp. 220-221.
Wolf, S., et al., “Silicon Processing for the VLSI Era,”, vol. 1: Process Technology, Second Edition, Lattice Press, Sunset Beach, CA, 2000, pp. 834-835.
Wolf, S., et al, “Silicon Processing for the VLSI Era,”, vol. 2: Process Integration, Lattice Press, Sunset Beach, CA, 2000, pp. 144-145.
Wolf, S., et al., “Silicon Processing for the VLSI Era,”, vol. 1: Process Technology, Second Edition, Lattice Press, Sunset Beach, CA, 2000, pp. 374-385.
Wolf, S., et al., “Silicon Processing for the VLSI Era,”, vol. 2: Process Integration, Lattice Press, Sunset Beach, CA, 2000, pp. 658-663.
Related Publications (1)
Number Date Country
20080169484 A1 Jul 2008 US
Provisional Applications (1)
Number Date Country
60880563 Jan 2007 US