Sulfur doped carbon hard masks

Information

  • Patent Grant
  • 9320387
  • Patent Number
    9,320,387
  • Date Filed
    Monday, May 5, 2014
    10 years ago
  • Date Issued
    Tuesday, April 26, 2016
    8 years ago
Abstract
Provided are methods of forming ashable hard masks (AHMs) with high etch selectivity and low hydrogen content using plasma enhanced chemical vapor deposition. Methods involve exposing a first layer to be etched on a semiconductor substrate to a carbon source and sulfur source, and generating a plasma to deposit a sulfur-doped AHM or amorphous carbon-based film on the first layer.
Description
BACKGROUND

Amorphous carbon films may be used as hard masks and etch stop layers in semiconductor processing, including in memory and logic device fabrication. These films are also known as ashable hard masks (AHMs) because they may be removed by an ashing technique. As aspect ratios in lithography increase, AHMs require higher etch selectivity. Current methods of forming highly selective AHMs using plasma enhanced chemical vapor deposition (PECVD) processes result in AHMs with high stress, limiting the AHMs' usefulness as hard masks. Accordingly, it is desirable to produce AHMs having high etch selectivity, but low stress.


SUMMARY

Provided are novel methods of depositing ashable hard masks (AHMs) that increase etch selectivity for use in semiconductor processing, including memory and logic device fabrication. In various embodiments, the methods involve providing a precursor gas from a carbon source and a sulfur source to a first layer to be etched on a semiconductor substrate, and generating a plasma from the precursor gas to deposit a sulfur-doped AHM on the first layer by plasma enhanced chemical vapor deposition (PECVD). Examples of layers on which an AHM may be deposited include dielectric layers such as oxides and nitrides, and polysilicon layers. Examples of carbon sources include methane (CH4), acetylene (C2H2), and propylene (C3H6). Examples of sulfur sources include hydrogen sulfide (H2S) and carbon disulfide (CS2). In various embodiments, the carbon source and sulfur source may be combined upstream from the deposition chamber, or provided separately to the deposition chamber. In certain embodiments, the sulfur-doped AHMs may have an atomic sulfur content of between about 0.5% and about 5%. In certain embodiments, the sulfur-doped AHMs may have an atomic carbon content of between about 60% and about 90%. In certain embodiments, the sulfur-doped AHMs may have an atomic hydrogen content of between about 13% and about 26%. In some embodiments, the sulfur-doped AHMs may be patterned. The first layer may then be etched in accordance with a patterned AHM. In some embodiments, the sulfur-doped AHMs may be between about 1000 Å and about 90,000 Å thick. In various embodiments, the sulfur-doped AHMs have stress between about −40 MPa and about −400 MPa.


Another aspect relates to a method of forming a sulfur-doped carbon-based film on a semiconductor substrate. A semiconductor substrate may be provided in a deposition chamber. In various embodiments, the semiconductor substrate is exposed to a precursor gas including a carbon source and sulfur source in the deposition chamber and a sulfur-doped carbon-based film is deposited on the substrate by PECVD. In certain embodiments, the sulfur-doped carbon-based film may have an atomic sulfur content of between about 0.5% and about 5%. In certain embodiments, the sulfur-doped carbon-based film may have an atomic sulfur content of between about 0.5% and about 3.5%. In various embodiments, the sulfur source may be hydrogen sulfide or carbon disulfide.


Another aspect relates to an apparatus configured to process a semiconductor substrate. According to various embodiments, the apparatus includes a deposition chamber including a showerhead, a plasma generator configured to apply power to the deposition chamber, a substrate support, and one or more gas inlets, and a controller configured to control the operations in the apparatus and including machine-readable instructions for flowing a precursor gas including a carbon source and a sulfur source to the deposition chamber housing the substrate and applying power to the plasma generator to generate plasma from the precursor gas to deposit a sulfur-doped ashable hard mask on the substrate by PECVD.


These and other aspects are described further below with reference to the drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is a process flow diagram showing relevant operations of methods of using ashable hard masks in etching operations according to various embodiments.



FIG. 2 is a process flow diagram showing relevant operations of methods of forming sulfur-doped ashable hard masks according to various embodiments.



FIG. 3 shows a schematic illustration of an example of a plasma enhanced chemical vapor deposition (PECVD) chamber suitable for practicing various embodiments.





DETAILED DESCRIPTION

In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.


In semiconductor processing, masking methods may be used to pattern and etch substrates. As substrate aspect ratios increase, the demand for highly selective hard masks increases. Masks that have high etch selectivity and yet are easy to remove without damage to the substrate are important to processing substrates.


Ashable hard masks (AHMs) can be used as masks in etch stop layers, or during selective etching, or where a photoresist may not be thick enough to mask the underlying layer. AHMs may also be used on glass substrates for display and other technologies.


AHMs have a chemical composition that allows them to be removed by a technique referred to as ashing, and alternately referred to as plasma ashing or dry stripping, once they have served their purpose. Substrates with hard masks to be ashed are placed into a chamber under vacuum, and monatomic oxygen from a plasma reacts with the hard mask to oxidize it to water, carbon monoxide, and carbon dioxide. The plasma can be formed either in the chamber or remotely by subjecting oxygen to radio frequency power. In some instances, complete removal of the hard mask may be accomplished by following the ashing with additional wet or dry etching processes, for example when the ashable hard mask leaves behind any residue that cannot be removed by ashing alone.


An AHM may be generally composed of carbon and hydrogen and optionally, a trace amount of one or more dopants (e.g., nitrogen, fluorine, boron, and silicon). The bonding structure of an AHM may be sp2 (graphite-like) or sp3 (diamond-like), or a combination of both, depending on the deposition conditions.



FIG. 1 is a process flow diagram showing relevant operations of methods of using an AHM as a hard mask in etching operations. Prior to AHM deposition, a substrate having a layer to be etched can be provided in a deposition chamber. While the description below refers to semiconductor substrates, the methods may be applied to layers on other types of substrates such as glass substrates. Examples of materials that may be masked with an AHM include dielectric materials such as oxides (e.g., SiO2) and nitrides (e.g., SiN and TiN), polysilicon (Poly-Si), and metals such as aluminum (Al), copper (Cu), and tungsten (W). In certain embodiments, the AHMs described herein may be used to pattern oxides, nitrides, or polysilicon layers.


In operation 102, an AHM is deposited on the layer to be etched by plasma enhanced chemical vapor deposition (PECVD), which may involve generating plasma in the deposition chamber. Single radio frequency (RF) plasma sources or dual RF plasma sources that include a high frequency (HF) component and a low frequency (LF) component may be used. In some processes, one or more AHM layers may be deposited.


In operation 104, a photoresist layer is deposited, exposed, and developed in accordance with a desired etch pattern. In some implementations, an anti-reflective layer (ARL) may be deposited on the AHM prior to photoresist deposition.


In operation 106, the AHM is opened by etching the exposed portions of the AHM. Opening the AHM may be performed by an oxygen-based dry etch as described below with reference to operation 110.


Next, in operation 108, the substrate layer is selectively etched to transfer the pattern to the substrate layer. The selective etch may be performed such that the substrate layer is etched without substantially diminishing the AHM walls. Examples of etches can include radical- and/or ionic-based etches. Examples of etch chemistries can include halogen-based etch chemistries such as fluorine-containing and chlorine-containing etch chemistries. For example, capacitively-coupled plasmas generated from fluorocarbon-containing process gases may be used to selectively etch oxide layers. Specific examples of process gases include CxFy-containing process gases, optionally with oxygen (O2) and an inert gas, such as C4H8/CH2F2/O2/Ar. The etch process may use a direct (in-situ) or remote plasma according to various embodiments.


Lastly, in operation 110, the AHM may be removed by ashing, plasma ashing, or dry stripping. Oxygen (O2) may be introduced in a chamber under vacuum such that the plasma reacts with the AHM and oxidizes it to water (H2O), carbon monoxide (CO), and carbon dioxide (CO2). If a dopant is used, the plasma may react with and oxidize the doped AHM. Optionally, any remaining AHM residue may also be removed by wet or dry etching processes after ashing. The result is the desired patterned substrate layer.


High aspect ratio patterning may use AHMs having high etch selectivity. Etch selectivity can be determined by comparing the etch rate of the AHM layer to an underlying layer. If an AHM has lower hydrogen content, its durability may be strengthened and etch selectivity may increase. If the AHM etch rate decreases, then the etch selectivity may increase. AHMs having low hydrogen content and lower etch rate may yield high etch selectivity.


To date, known, highly selective AHMs have high hydrogen content, thereby limiting effective AHM use. Current methods form carbon-based AHMs by introducing a carbon-based precursor gas, such as a hydrocarbon, by PECVD. However, continuous ion bombardment also incorporates excessive unbound hydrogen atoms in the AHM and causes high ion bombardment of heavy atomic weight ions. This increases the stress of the deposited AHM, which limits AHM applications because a high stress AHM is more likely to collapse or compress. Increased stress levels also make alignment more difficult. Dopants, such as silicon, boron, germanium and nitrogen, may be used to decrease AHM hydrogen content to increase etch selectivity. However, higher aspect ratio lithography often needs AHMs with higher etch selectivity than even current doped AHMs provide.


According to various embodiments, provided herein are methods of forming AHMs with higher selectivity. These methods yield sulfur-doped AHMs with low hydrogen content that retard the etch rate, and are easy to remove, resulting in highly selective hard masks for effective semiconductor processing.



FIG. 2 shows a process flow diagram showing relevant operations of methods of forming sulfur-doped AHMs according to various embodiments. First, in operation 202, a first layer to be etched on a substrate is provided in a chamber. Examples of substrates and substrate layers are described above with reference to operation 102 in FIG. 1. In FIG. 1, an integration method using an AHM as a hard mask is described. In some other embodiments, the AHMs described herein may also be used as etch stop layers in addition to or instead of as hard masks.


Then, in operation 204, the first layer is exposed to a precursor gas including a carbon source and a sulfur source. In various embodiments, the carbon source may be a hydrocarbon precursor defined by the formula CxHy, where X is an integer between 2 and 10, and Y is an integer between 2 and 24. Examples include methane (CH4), acetylene (C2H2), ethylene (C2H4), propylene (C3H6), butane (C4H10), cyclohexane (C6H12), benzene (C6H6), and toluene (C7H8). In some embodiments, two or more hydrocarbon precursors may be introduced.


In various embodiments, the sulfur source may be hydrogen sulfide (H2S), carbon disulfide (CS2), sulfur dioxide (SO2), sulfur hexafluoride (SF6) or carbonyl sulfide (COS). Other sulfur sources include methane-thiol, ethane thiol, and ethane dithiol. The sulfur source and carbon source may be premixed. In some embodiments, the sulfur source and carbon source may be provided separately to the chamber.


In addition to hydrocarbon and sulfur-based precursors, a carrier gas may be used to dilute the precursor gas flow. The carrier gas may be any suitable carrier gas, including helium (He), argon (Ar), nitrogen (N2), hydrogen (H2), or a combination of any of these.


Total pressure in the deposition chamber may range from between about 1 Torr and about 20 Torr, or about 1.8 Torr. In some embodiments, pressure may be between about 1 Torr and about 10 Torr. In some embodiments, the hydrocarbon precursor may be introduced at a low partial pressure, e.g., between about 0.01 Torr and about 4 Torr, as discussed in U.S. Pat. Nos. 7,981,777 and 7,981,810, which are incorporated herein by reference. In certain embodiments, the partial pressure may be at or below about 0.2 Torr.


Precursor gas flow rates depend on the particular deposition chamber and substrate. Examples of flow rates used for 300 mm substrates are between about 200 sccm and about 4,000 sccm of acetylene, between about 1,000 sccm and about 20,000 sccm of hydrogen, and between about 0 sccm and about 20,000 sccm of helium. In some embodiments, the flow rate of nitrogen may be between about 0 sccm and about 3,000 sccm. Examples of flow rates of the sulfur source may be between about 50 sccm and about 400 sccm of carbon disulfide. Optionally, precursor gases may be flowed continuously or pulsed. The carbon source and sulfur source may be pulsed in synchrony or out of synchrony. The pulse frequency of the sulfur source may be between about 0.05 Hz and about 1 Hz. The pulse frequency of the carbon source may be between about 0.05 Hz and about 1 Hz.


The methods described herein may be used with any appropriate process temperature to obtain desired AHM characteristics, with examples ranging from about 50° C. to about 550° C. Process temperature can affect the selectivity and transparency at least in part due to sp2 bond versus sp3 bond formation. Higher temperatures favor sp2 rich amorphous carbon network formation as the high temperatures enable easy breakage of C—H bonds and subsequent diffusion of hydrogen. For example, films deposited at temperatures above about 500° C. may have significantly more sp2 CH and CH2 bonds compared to sp3 bonds, with increased carbon content and higher density, which correlate with increased etch selectivity. However, these films may not be suitable for thick hard mask applications due to the lower optical bandgap of sp2 carbon. For example, at 2 kÅ and above, the films may not be transparent enough for mask alignment. U.S. Pat. No. 7,981,810, referenced above, provides process conditions for deposition of selective and transparent AHMs at lower temperatures and/or dilute hydrocarbon precursor flows. AHMs deposited at lower temperatures, e.g., below about 400° C., may have varying amounts of sp2 bonding compared to AHMs deposited at higher temperatures.


Next, returning to FIG. 2, in operation 206, plasma is ignited using a plasma source. In some embodiments, a single frequency RF plasma source may be used to generate capacitively coupled plasma. The frequency of an RF source may be about 13.56 MHz, for example. In various embodiments, the plasma source may be a dual RF plasma source that includes an HF RF component and an LF RF component. In certain embodiments, the methods of the embodiments use LF RF power to generate high energy ion bombardment. Low frequency RF power refers to an RF power having a frequency between 100 kHz and 2 MHz—for example, 430 kHz. During deposition, in some embodiments, the LF power ranges between about 0.001 W/cm2 and about 0.5 W/cm2, or between about 0.14 W/cm2 and about 0.35 W/cm2, where W/cm2 expresses Watts per square centimeter of substrate surface area. High frequency RF power refers to an RF power having a frequency between 2 MHz and 60 MHz, such as a frequency of about 13.56 MHz. During deposition, in some embodiments, the HF power ranges between about 0.001 W/cm2 and about 0.2 W/cm2, or about 0.14 W/cm2.


Optionally, RF power may be pulsed at a frequency of between about 2 Hz and about 200 Hz. At least four variations of pulsing or continuous RF power or sulfur flow can be used. First, RF power may be continuous while sulfur flow is continuous. Second, RF power may be pulsed while sulfur flow is continuous. Third, RF power may be continuous while sulfur flow is pulsed. Fourth, both RF power and sulfur source flow may be pulsed.


Although FIG. 3 described below depicts and the description refers chiefly to an RF plasma source to generate the capacitively-coupled plasma for PECVD deposition, other types of sources including direct current (DC) and microwave sources may be used.


Next, referring to FIG. 2, in operation 208, a sulfur-doped AHM is deposited on the first layer by PECVD. In certain embodiments, the atomic hydrogen content in the sulfur-doped AHM may be between about 13% and about 26% and the atomic sulfur content may be between about 0.5% and about 5%, or between about 0.5% and about 3.5%, or about 2%. The carbon content of a sulfur-doped AHM may be between about 60% and about 90%. In some embodiments, the first layer is an oxide/polysilicon stack. In some embodiments, the first layer is an oxide/nitride stack. In some embodiments, the sulfur-doped AHM may have a modulus to stress ratio of less than about 1, or between about 0.1 and about 0.7. In some embodiments, the modulus of the sulfur-doped AHM may be between about 30 GPa and about 50 GPa and a stress may be between about −400 MPa to about −50 MPa. For a given stress, the etch rate decreases (etch selectivity increases) with increasing doping.


While the disclosed embodiments are not limited by any particular theory, it is believed that while using a sulfur-based precursor gas may create covalent sp2 bonds susceptible to a higher etch rate due to the lower coordination number (as opposed to spa bonds), the structure of sp2 bonds may increase the volume of sulfur and carbon atoms in the network, thereby reinforcing the strength of the AHM and increasing selectivity.


It is also believed that the sulfur source may create a potential ionic force between sulfur and carbon and hydrogen atoms, which creates a more stable, or less stressed solid, or both. However, a sulfur source with hydrogen, such as H2S, may increase the amount of hydrogen ion or radical bombardment during deposition and risk increasing AHM stress.


While the disclosed embodiments are not limited by any particular theory, it is believed that a sulfur-doped AHM acts as a retardant in the etching process, strengthening the AHM's ability to withstand gas flows during etching whereby the etch chemistry interacts with sulfur in the AHM instead of with carbon. Thus, the sulfur-doped AHM reinforces the AHM's masking strength and increases etch selectivity.


Thus, it is believed that the sulfur-doped AHM's increase in etch selectivity may be due to bond formation and structure, covalent bond and ionic force strength between the atoms, and etching retardant properties.


In another embodiment of using AHMs with reference to FIG. 1 above, method 100 may implement deposition of a sulfur-doped carbon-based AHMs or sulfur-doped amorphous carbon films on a first layer in operation 102 before the photoresist layer is deposited and developed in operation 104. After etching the sulfur-doped carbon-based film in operation 106, the first layer is selectively etched in operation 108. Lastly, plasma ashing may be used to remove the sulfur-doped carbon-based film, yielding the desired patterned first layer.


In various embodiments, the dopant used to form a sulfur-doped carbon-based layer may be hydrogen sulfide (H2S) or carbon disulfide (CS2). While the disclosed embodiments are not limited by any particular theory, it is believed that plasma ashing effectively removes the sulfur-doped AHM because sulfur can react well with oxygen-based plasma for removal.


Apparatus


Embodiments can be implemented on a multi-station, or multi-chamber, or single station tool. Various embodiments may be compatible with existing semiconductor processing equipment, such as PECVD reactors—for example, the Sequel™ or Vector™ reactor chambers available from Lam Research Corporation. Generally, the apparatus houses one or more chambers or reactors, each including one or more stations. Chambers suitable for substrate processing may house one or more substrates. The one or more chambers maintain the substrate in a defined position or positions, by preventing rotation, vibration, or other agitation. In some embodiments, a substrate undergoing sulfur-doped AHM deposition may be transferred from one station to another within a chamber during deposition. For example, a 2000 Å sulfur-doped AHM may be deposited entirely at one station, or 500 Å of film may be deposited at each of four stations in accordance with various embodiments. Alternatively, any other fraction of the total film thickness may be deposited at any number of stations. In various embodiments where more than one AHM is deposited, more than one station may be used to deposit each AHM layer. During processing, each substrate is held in place by a pedestal, wafer chuck, and/or other substrate holding apparatus. For certain operations where the substrate is to be heated, the apparatus may have a heater such as a heating plate.



FIG. 3 shows a schematic illustration of an example of a PECVD reactor suitable for practicing various embodiments. As shown, a reactor 300 houses a chamber 324, which may be a station of a multi-station tool, or a chamber of a multi-chamber, multi-station tool. Chamber 324 encloses other reactor components with the plasma generated by a capacitor type system and a showerhead 314 working in conjunction with a grounded heater block 320. An LF RF generator 302 and an HF RF generator 304 may be connected to a matching network 306, which is further connected to showerhead 314. In some embodiments, a single RF generator (not shown) may be used. The power and frequency sufficient to generate plasma from the process gas may be supplied by matching network 306.


Within the reactor, a substrate support 318 holds a substrate 316. The pedestal typically has a chuck, a fork, or lift pins to hold and transfer the substrate during and between the deposition and/or plasma reactions. The chuck may be an electrostatic chuck, a mechanical chuck or various other types of chuck.


The process gases are introduced via inlet 312. Multiple source gas lines 310 are connected to manifold 308. The gases may be optionally premixed. Appropriate valving and mass flow control mechanisms may be employed to ensure that the correct gases are delivered during the process. In case any chemical precursors are delivered in liquid form, liquid flow control mechanisms may be employed. The liquid is then vaporized and mixed with other process gases during its transportation in a manifold heated above its vaporization point before reaching the deposition chamber.


Process gases exit chamber 324 via an outlet 322. A vacuum pump 326 (e.g., a one or two stage mechanical dry pump, or a turbomolecular pump) draws process gases out and maintains a suitably low pressure within the reactor by a close-loop, controlled flow restriction device, such as a throttle valve or a pendulum valve. In various embodiments, the density of the plasma in the chamber may be about 1014 to about 1016 ions/m3.


Reactor 300 also includes an embodiment of a system controller 328 employed to control process conditions and hardware states of reactor 300. For example, in some embodiments, system controller 328 may control one or more process parameters during a PECVD film deposition phase to achieve a desired sulfur-doped AHM film, such as precursor gas flow from a carbon source and sulfur source, or LF RF power and HF RF power levels.


In some embodiments, a system controller 328 (which may include one or more physical or logical controllers) controls some or all of the operations of a process tool. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the system controller 328 or they may be provided over a network. In certain embodiments, the system controller 328 executes system control software.


For example, a system controller 328 may control the delivery of appropriate gases (e.g., carbon source or sulfur source), the receipt of a substrate from outside the apparatus and/or the transfer a substrate from one station to the next of a multi-station chamber. The system controller 328 may also control the temperature, pressure, LF RF power, HF RF power, etc. during deposition.


System controller 328 may include one or more memory devices, one or more mass storage devices, and one or more processors. A processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.


In some embodiments, system controller 328 controls all of the activities of reactor 300. In some embodiments, system controller 328 executes machine-readable system control software stored in mass storage device, loaded into memory device, and executed on processor so that the apparatus will perform a method in accordance with the present embodiments. Alternatively, the control logic may be hard coded in the system controller 328. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place.


System control software may include instructions for controlling the timing, mixture of gases, flow rate of process gases (e.g., sulfur source, carbon source, etc.), chamber and/or station and/or reactor pressure, chamber and/or station and/or reactor temperature, substrate temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by reactor 300. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components for performing various process tool processes. System control software may be coded in any suitable computer readable programming language.


In some embodiments, system control software may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a fabrication process may include one or more instructions for execution by system controller 328. The instructions for setting various process conditions for depositing low stress, sulfur-doped AHMs may be included. Examples of relevant process conditions are described herein.


Other computer software and/or programs stored on mass storage device and/or memory device associated with system controller 328 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.


A substrate positioning program may include program code for process tool components that are used to load the substrate onto process station substrate support 318 and to control the spacing between the substrate and other parts of reactor 300.


A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A process gas control program may also include code for pulsing gas from a sulfur source and/or a carbon source in accordance with embodiments herein. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.


A heater control program may include code for controlling the current to one or more heating units that are used to heat the substrate and/or processing chamber. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.


A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations.


In some embodiments, there may be a user interface associated with system controller 328. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


In some embodiments, parameters adjusted by system controller 328 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, such as of a carbon source or sulfur source, temperature, pressure, plasma conditions (such as RF bias power levels), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 328 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of reactor 300. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.


System controller 328 may provide program instructions for implementing the above-described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, duration of exposure to processing gases and/or heat and/or other energy sources, etc. The instructions may control the parameters to operate in-situ deposition and further processing (e.g., patterning, etching, and activating) of film stacks according to various embodiments described herein.


In some embodiments, the sulfur-doped amorphous carbon films may be formed using a high-density plasma (HDP) method and apparatus. HDP methods generally use inductively-coupled plasma generators located upstream of the reaction space. In some embodiments, the density of plasma in an HDP process may be about 1017 to about 1019 ions/m3. In some embodiments, the pressure during deposition by HDP may be between about 1 mTorr to about 200 mTorr.


EXPERIMENTAL

An experiment was conducted to measure the etch rates of sulfur-doped and undoped carbon hardmasks at a given stress level. The experiment was conducted at a process pressure of 1.8 Torr. The following plasma powers are given for a four-station tool on 300 mm substrates.


An undoped carbon hardmask was fabricated by flowing 2500 sccm of acetylene (C2H2) with a dual radio frequency (RF) plasma at a high frequency (HF) power of 400 W and low frequency (LF) power of 1000 W.


Six sulfur-doped carbon hardmasks were fabricated. First, a 2% sulfur-doped carbon hardmask was fabricated by flowing 2500 sccm of acetylene (C2H2) and 50 sccm of carbon disulfide (CS2) with a dual RF plasma at a HF power of 400 W and LF power of 1000 W.


The second 2% sulfur-doped carbon hardmask was fabricated by flowing 625 sccm of acetylene (C2H2), 68 sccm of carbon disulfide (CS2), and 2750 sccm of nitrogen (N2) with a dual RF plasma at a HF power of 400 W and LF power of 1000 W.


The first 5% sulfur-doped carbon hardmask was fabricated by flowing 2500 sccm of acetylene (C2H2), 262 sccm of carbon disulfide (CS2), 1250 sccm of helium, and 1500 sccm of nitrogen (N2) with a dual RF plasma at a HF power of 400 W and LF power of 1000 W.


The second 5% sulfur-doped carbon hardmask was fabricated by flowing 625 sccm of acetylene (C2H2), 168 sccm of carbon disulfide (CS2), 1250 sccm of helium, and 1500 sccm of nitrogen (N2) with a dual RF plasma at a HF power of 400 W and LF power of 1000 W.


The third 5% sulfur-doped carbon hardmask was fabricated by flowing 625 sccm of acetylene (C2H2), 168 sccm of carbon disulfide (CS2), 1250 sccm of helium, and 1500 sccm of nitrogen (N2) with a dual RF plasma at a HF power of 400 W and LF power of 400 W.


The fourth 5% sulfur-doped carbon hardmask was fabricated by flowing 625 sccm of acetylene (C2H2), 168 sccm of carbon disulfide (CS2), 1250 sccm of helium, and 1500 sccm of nitrogen (N2) with a dual RF plasma at a HF power of 400 W and LF power of 400 W.


The stress and modulus were measured for all seven stacks. The relative etch rates to an oxide/nitride/oxide/nitride (ONON) stack and an oxide/polysilicon/oxide/polysilicon (OPOP) stack were measured for each hardmask. The relative etch rates were normalized to show the comparison in etch rate improvement for doped hardmasks as compared to the undoped hardmask. The results are summarized below in Table 1.









TABLE 1







Stress, Modulus, and Etch Rates of Doped vs. Undoped AHMs











Stress
Modulus
Relative Etch Rate to











Film
(MPa)
(GPa)
ONON
OPOP














Undoped AHM
2
25
1.00
1.00


2% S-doped AHM #1
−51
34
0.94
0.96


2% S-doped AHM #2
−118
72
0.81
0.74


5% S-doped AHM #1
−96
46
0.80
0.94


5% S-doped AHM #2
−372
68
0.58
0.81


5% S-doped AHM #3
−160
70
0.56
0.76


5% S-doped AHM #4
−140
72
0.80
0.76









Overall, compared to the undoped AHM, the 2% and 5% sulfur-doped AHMs all exhibited a lower etch rate relative to both the ONON stack and the OPOP stack, thereby exhibiting a higher etch selectivity. Comparisons were made for AHMs at a given stress.


A comparison of ONON etch rates was made between the hardmasks that had a stress level in the range between −100 MPa and 0 MPa. The 5% S-doped AHM #1 had a lower etch rate relative to the ONON stack compared to the 2% S-doped AHM #1, which suggests that the AHM with increased doping at 5% had a higher etch selectivity. Likewise, for hardmasks that had a stress level between −200 MPa and −100 MPa, the 5% S-doped AHMs in #3 and #4 showed a lower etch rate relative to the ONON stack compared to the 2% S-doped AHM #1 and the undoped AHM. The 5% S-doped AHM #2 also exhibited a lower relative etch rate to the ONON stack compared to the 2% S-doped AHMs and the undoped AHM.


A comparison of OPOP etch rates was made between undoped and doped hardmasks. The results of Table 1 show that for OPOP etch, S-doped AHMs (both 2% and 5% variations) had a lower relative etch rate than the undoped AHM.


CONCLUSION

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims
  • 1. A method of forming an ashable hard mask on a first layer to be etched on a semiconductor substrate, comprising: providing a precursor gas comprising a carbon source and a sulfur source to a deposition chamber housing the semiconductor substrate, andgenerating a plasma from the precursor gas to thereby deposit a sulfur-doped ashable hard mask on the first layer by a plasma enhanced chemical vapor deposition (PECVD) process.
  • 2. The method of claim 1, wherein the deposited sulfur-doped ashable hard mask has an atomic sulfur content of between about 0.5% and about 5%.
  • 3. The method of claim 2, wherein the deposited sulfur-doped ashable hard mask has an atomic carbon content of between about 60% and about 90%.
  • 4. The method of claim 2, wherein the deposited sulfur-doped ashable hard mask has an atomic hydrogen content of between about 13% and about 26%.
  • 5. The method of claim 1, wherein the sulfur-doped ashable hard mask is between about 1000 Å and about 90,000 Å thick.
  • 6. The method of claim 1, wherein the sulfur-doped ashable hard mask has a stress of between about −40 MPa and about −400 MPa.
  • 7. The method of claim 1, wherein the first layer is selected from the group consisting of an oxide layer, a nitride layer, and a polysilicon layer.
  • 8. The method of claim 1, wherein the carbon source is methane, acetylene, or propylene.
  • 9. The method of claim 1, wherein the sulfur source is hydrogen sulfide (H2S) or carbon disulfide (CS2).
  • 10. The method of claim 1, wherein the sulfur source is carbon disulfide (CS2) and the carbon source is acetylene (C2H2).
  • 11. The method of claim 1, wherein the carbon source and sulfur source are combined upstream from the deposition chamber.
  • 12. The method of claim 1, wherein the carbon source and the sulfur source are provided separately to the deposition chamber.
  • 13. The method of claim 1, further comprising patterning the sulfur-doped ashable hard mask layer.
  • 14. The method of claim 13, further comprising etching the first layer in accordance with the pattern of the sulfur-doped ashable hard mask.
  • 15. A method of forming a sulfur-doped amorphous carbon-based film on a semiconductor substrate, comprising: providing the semiconductor substrate in a deposition chamber,exposing the semiconductor substrate to a precursor gas comprising a carbon source and a sulfur source, anddepositing a sulfur-doped amorphous carbon-based film on the semiconductor substrate by a plasma enhanced chemical vapor deposition (PECVD) process.
  • 16. The method of claim 15, wherein the sulfur-doped amorphous carbon-based film has an atomic sulfur content of between about 0.5% and about 5%.
  • 17. The method of claim 15, wherein the sulfur source is hydrogen sulfide (H2S) or carbon disulfide (CS2).
CROSS REFERENCE TO RELATED APPLICATION

This application claims the benefit of U.S. Provisional Patent Application No. 61/884,800 filed on Sep. 30, 2013, and titled “SULFUR DOPED CARBON HARD MASKS,” which is herein incorporated by reference in its entirety and for all purposes.

US Referenced Citations (142)
Number Name Date Kind
3816976 Stork et al. Jun 1974 A
4209357 Gorin et al. Jun 1980 A
4274841 Andersen et al. Jun 1981 A
4668261 Chatzipetros et al. May 1987 A
4673589 Standley Jun 1987 A
4863493 Kotani et al. Sep 1989 A
4863760 Schantz et al. Sep 1989 A
4975144 Yamazaki et al. Dec 1990 A
5222549 Ishii et al. Jun 1993 A
5231057 Doki et al. Jul 1993 A
5261250 Missimer Nov 1993 A
5378316 Franke et al. Jan 1995 A
5470661 Bailey et al. Nov 1995 A
5562952 Nakahigashi et al. Oct 1996 A
5670066 Barnes et al. Sep 1997 A
5792269 Deacon et al. Aug 1998 A
5900288 Kuhman et al. May 1999 A
5968379 Zhao Oct 1999 A
5985103 Givens et al. Nov 1999 A
6006797 Bulow et al. Dec 1999 A
6030591 Tom et al. Feb 2000 A
6035803 Robles et al. Mar 2000 A
6041734 Raoux et al. Mar 2000 A
6066209 Sajoto et al. May 2000 A
6150719 Saia et al. Nov 2000 A
6241793 Lee et al. Jun 2001 B1
6286321 Glater Sep 2001 B1
6319299 Shih et al. Nov 2001 B1
6331480 Tsai et al. Dec 2001 B1
6367413 Sill et al. Apr 2002 B1
6387819 Yu May 2002 B1
6422918 Avanzino et al. Jul 2002 B1
6458516 Ye et al. Oct 2002 B1
6465051 Sahin et al. Oct 2002 B1
6478924 Shamouilian et al. Nov 2002 B1
6541397 Bencher Apr 2003 B1
6573030 Fairbairn et al. Jun 2003 B1
6613434 Drevillon et al. Sep 2003 B1
6617553 Ho et al. Sep 2003 B2
6635185 Demmin Oct 2003 B2
6777349 Fu et al. Aug 2004 B2
6787452 Sudijono et al. Sep 2004 B2
6787819 Rhodes et al. Sep 2004 B2
6967072 Latchford et al. Nov 2005 B2
7064078 Liu et al. Jun 2006 B2
7202176 Goto et al. Apr 2007 B1
7205228 Padhi et al. Apr 2007 B2
7220982 Campbell May 2007 B2
7223526 Fairbairn et al. May 2007 B2
7235478 Geng et al. Jun 2007 B2
7271106 Abatchev et al. Sep 2007 B2
7288484 Goto et al. Oct 2007 B1
7314506 Vininski et al. Jan 2008 B2
7323401 Ramaswamy et al. Jan 2008 B2
7381644 Subramonium et al. Jun 2008 B1
7399712 Graff Jul 2008 B1
7495984 Kim et al. Feb 2009 B2
7576009 Lee et al. Aug 2009 B2
7803715 Haimson et al. Sep 2010 B1
7820556 Hsu et al. Oct 2010 B2
7915166 Yu et al. Mar 2011 B1
7955990 Henri et al. Jun 2011 B2
7981777 Subramonium et al. Jul 2011 B1
7981810 Subramonium et al. Jul 2011 B1
8110493 Subramonium et al. Feb 2012 B1
8114782 Graff Feb 2012 B2
8129281 Cheung et al. Mar 2012 B1
8227352 Yu et al. Jul 2012 B2
8309473 Hsu et al. Nov 2012 B2
8435608 Subramonium et al. May 2013 B1
8563414 Fox et al. Oct 2013 B1
8569179 Graff Oct 2013 B2
8664124 Graff Mar 2014 B2
8669181 Yu et al. Mar 2014 B1
8962101 Subramonium et al. Feb 2015 B2
20010021491 Chen et al. Sep 2001 A1
20020182848 Joseph et al. Dec 2002 A1
20030044532 Lee et al. Mar 2003 A1
20030106647 Koshiishi et al. Jun 2003 A1
20040000534 Lipinski Jan 2004 A1
20040016972 Singh et al. Jan 2004 A1
20040018750 Sophie et al. Jan 2004 A1
20040023502 Tzou et al. Feb 2004 A1
20040140506 Singh et al. Jul 2004 A1
20040180551 Biles et al. Sep 2004 A1
20040224504 Gadgil Nov 2004 A1
20040266195 Dokumaci et al. Dec 2004 A1
20050042889 Lee et al. Feb 2005 A1
20050054202 Pan et al. Mar 2005 A1
20050098119 Burger et al. May 2005 A1
20050112506 Czech et al. May 2005 A1
20050129935 Kunitake et al. Jun 2005 A1
20050130404 Moghadam et al. Jun 2005 A1
20050167394 Liu et al. Aug 2005 A1
20050202683 Wang et al. Sep 2005 A1
20050260411 Ravi Nov 2005 A1
20050287771 Seamons et al. Dec 2005 A1
20060019486 Yu et al. Jan 2006 A1
20060091559 Nguyen et al. May 2006 A1
20060154086 Fuller et al. Jul 2006 A1
20060154477 Geng et al. Jul 2006 A1
20060197881 Kang et al. Sep 2006 A1
20060205223 Smayling Sep 2006 A1
20060231524 Liu et al. Oct 2006 A1
20060246373 Wang Nov 2006 A1
20070032054 Ramaswamy et al. Feb 2007 A1
20070048674 Wells Mar 2007 A1
20070054500 Bencher Mar 2007 A1
20070059913 King et al. Mar 2007 A1
20070072112 Prokopowicz et al. Mar 2007 A1
20070077780 Wang et al. Apr 2007 A1
20070105303 Busch et al. May 2007 A1
20070125762 Cui et al. Jun 2007 A1
20070128538 Fairbairn et al. Jun 2007 A1
20070140029 Kim et al. Jun 2007 A1
20070166546 Ichikawa et al. Jul 2007 A1
20070166979 Wang et al. Jul 2007 A1
20070202640 Al-Bayati et al. Aug 2007 A1
20070247073 Paterson et al. Oct 2007 A1
20080073636 Kim Mar 2008 A1
20080083916 Kim Apr 2008 A1
20080128907 Yang et al. Jun 2008 A1
20080200003 Hong et al. Aug 2008 A1
20080242912 Letessier et al. Oct 2008 A1
20080254639 Graff Oct 2008 A1
20080254641 Kobayashi et al. Oct 2008 A1
20080264803 Agrawal Oct 2008 A1
20090176174 Chen et al. Jul 2009 A1
20090182180 Huang et al. Jul 2009 A1
20090305516 Hsu et al. Dec 2009 A1
20100151691 Henri et al. Jun 2010 A1
20100297853 Hsu et al. Nov 2010 A1
20110244142 Cheng et al. Oct 2011 A1
20120149207 Graff Jun 2012 A1
20120196446 Graff Aug 2012 A1
20130216956 Kishioka et al. Aug 2013 A1
20140057454 Subramonium et al. Feb 2014 A1
20140199628 Edelstein et al. Jul 2014 A1
20140239462 Shamma et al. Aug 2014 A1
20140273469 Wahl et al. Sep 2014 A1
20140273473 Schmid et al. Sep 2014 A1
20150093908 Reddy et al. Apr 2015 A1
Foreign Referenced Citations (5)
Number Date Country
2853313 Oct 2004 FR
62019539 Jan 1987 JP
08-152262 Jun 1996 JP
382671 Oct 1973 SU
WO 2005048367 May 2005 WO
Non-Patent Literature Citations (88)
Entry
U.S. Appl. No. 14/185,757, filed Feb. 20, 2014, entitled PECVD Films for EUV Lithography.
“U.S. Appl. No. 14/248,046, filed Apr. 8, 2014, entitled High Selectivity and Low Stress Carbon Hardmask by Pulsed Low Frequency RF Power.”
U.S. Appl. No. 13/160,399, filed Jun. 14, 2011, entitled “Methods of Depositing Highly Selective Transparent Ashable Hardmask Films”.
U.S. Appl. No. 11/612,382, filed Dec. 18, 2006, entitled “Methods of Improving Ashable Hardmask Adhesion to Metal layers”.
U.S. Appl. No. 11/849,208, filed Aug. 31, 2007, entitled “Methods and Apparatus for Plasma-Based Deposition”.
U.S. Appl. No. 13/856,364, filed Apr. 3, 2013, entitled “Methods of Depositing Smooth and Conformal Ashable Hard Mask Films”.
US Notice of Allowance, dated Oct. 4, 2006, issued in U.S. Appl. No. 11/318,269.
US Office Action, dated Dec. 14, 2006, issued in U.S. Appl. No. 11/318,269.
US Notice of Allowance, dated May 7, 2007, issued in U.S. Appl. No. 11/318,269.
US Office Action, dated Aug. 27, 2007, issued in U.S. Appl. No. 11/318,269.
US Notice of Allowance, dated Jan. 7, 2008, issued in U.S. Appl. No. 11/318,269.
US Office Action, dated Nov. 22, 2010, issued in U.S. Appl. No. 12/048,967.
US Office Action, dated Apr. 18, 2011, issued in U.S. Appl. No. 12/048,967.
US Notice of Allowance, dated Oct. 7, 2011, issued in U.S. Appl. No. 12/048,967.
US Office Action dated, Dec. 27, 2007, issued in U.S. Appl. No. 11/449,983.
US Final Office Action, dated Jul. 9, 2008, issued in U.S. Appl. No. 11/449,983.
US Office Action, dated Jan. 5, 2009, issued in U.S. Appl. No. 11/449,983.
US Final Office Action, dated Sep. 15, 2009, issued in U.S. Appl. No. 11/449,983.
US Office Action, dated Jun. 21, 2010, issued in U.S. Appl. No. 11/449,983.
US Final Office Action, dated Dec. 30, 2010, issued in U.S. Appl. No. 11/449,983.
US Notice of Allowance, dated Mar. 16, 2011, issued in U.S. Appl. No. 11/449,983.
US Office Action, dated Jun. 1, 2007, issued in U.S. Appl. No. 11/612,382.
US Office Action, dated Oct. 9, 2007, issued in U.S. Appl. No. 11/612,382.
US Final Office Action, dated May 13, 2008, issued in U.S. Appl. No. 11/612,382.
US Office Action, dated Aug. 19, 2008, issued in U.S. Appl. No. 11/612,382.
US Final Office Action, dated Feb. 24, 2009, issued in U.S. Appl. No. 11/612,382.
US Final Office Action, dated May 12, 2009, issued in U.S. Appl. No. 11/612,382.
US Final Office Action, dated Dec. 9, 2009, issued in U.S. Appl. No. 11/612,382.
US Office Action, dated Mar. 26, 2010, issued in U.S. Appl. No. 11/612,382.
US Office Action dated Nov. 20, 2009, issued in U.S. Appl. No. 11/710,652.
US Final Office Action dated Apr. 15, 2010, issued in U.S. Appl. No. 11/710,652.
US Office Action dated Aug. 5, 2010, issued in U.S. Appl. No. 11/710,652.
US Notice of Allowance dated Nov. 24, 2010, issued in U.S. Appl. No. 11/710,652.
US Office Action dated Jul. 9, 2013, issued in U.S. Appl. No. 13/032,392.
US Notice of Allowance dated Oct. 25, 2013, issued in U.S. Appl. No. 13/032,392.
US Office Action, dated Jan. 5, 2009, issued in U.S. Appl. No. 11/710,377.
US Office Action, dated Aug. 19, 2009, issued in U.S. Appl. No. 11/710,377.
US Office Action, dated Mar. 31, 2010, issued in U.S. Appl. No. 11/710,377.
US Notice of Allowance, dated Mar. 22, 2011, issued in U.S. Appl. No. 11/710,377.
US Office Action, dated Sep. 3, 2010, issued in U.S. Appl. No. 11/849,208.
US Final Office Action, dated Apr. 12, 2011, issued in U.S. Appl. No. 11/849,208.
US Final Office Action, dated Sep. 14, 2012, issued in U.S. Appl. No. 11/849,208.
US Final Office Action, dated Apr. 24, 2013, issued in U.S. Appl. No. 11/849,208.
US Office Action, dated Feb. 28, 2014, issued in U.S. Appl. No. 13/974,808.
US Office Action, dated Oct. 19, 2011, issued in U.S. Appl. No. 12/163,670.
US Office Action, dated Feb. 14, 2012, issued in U.S. Appl. No. 12/163,670.
US Office Action, dated Jul. 6, 2012, issued in U.S. Appl. No. 12/163,670.
US Final Office Action dated Oct. 18, 2012, issued in U.S. Appl. No. 12/163,670.
US Notice of Allowance dated Jan. 3, 2013, issued in U.S. Appl. No. 12/163,670.
US Notice of Allowance, dated Nov. 2, 2010, issued in U.S. Appl. No. 12/334,220.
US Supplemental Notice of Allowance, dated Feb. 8, 2011, issued in U.S. Appl. No. 12/334,220.
US Office Action, dated Aug. 19, 2009, issued in U.S. Appl. No. 12/133,223.
US Final Office Action, dated Dec. 21, 2009, issued in U.S. Appl. No. 12/133,223.
US Notice of Allowance, dated Mar. 2, 2010, issued in U.S. Appl. No. 12/133,223.
US Office Action, dated Nov. 9, 2011, issued in U.S. Appl. No. 12/786,842.
US Final Office Action, dated Apr. 19, 2012, issued in U.S. Appl. No. 12/786,842.
US Notice of Allowance, dated Jul. 20, 2012, issued in U.S. Appl. No. 12/786,842.
US Office Action, dated Aug. 10, 2012, issued in U.S. Appl. No. 12/766,721.
US Final Office Action, dated Mar. 14, 2013, issued in U.S. Appl. No. 12/766,721.
US Notice of Allowance, dated Jun. 28, 2013, issued in U.S. Appl. No. 12/766,721.
US Office Action, dated Jun. 1, 2007, issued in U.S. Appl. No. 11/263,148.
US Final Office Action, dated Oct. 24, 2007, issued in U.S. Appl. No. 11/263,148.
US Notice of Allowance, dated Mar. 18, 2008, issued in U.S. Appl. No. 11/263,148.
US Office Action, dated May 2, 2011, issued in U.S. Appl. No. 11/263,148.
US Notice of Allowance, dated Oct. 13, 2011, issued in U.S. Appl. No. 11/263,148.
US Office Action, dated Feb. 15, 2013, issued in U.S. Appl. No. 13/371,184.
US Notice of Allowance, dated Jul. 1, 2013, issued in U.S. Appl. No. 13/371,184.
US Office Action, dated Oct. 9, 2013, issued in U.S. Appl. No. 13/372,363.
US Notice of Allowance, dated Dec. 30, 2013, issued in U.S. Appl. No. 13/372,363.
Korean Office Action, dated Jun. 1, 2010, issued in Application No. 2009/0048358.
Callegari et al. (1993) “Optical properties of hydrogenated amorphous-carbon film for attenuated phase-shift mask applications,” J. Vac. Sci. Technol. B 11(6):2697-2699.
Grill, A. (1999) “Diamond-like carbon: state of the art,” Diamond and Related Materials 8,pp. 428-434.
Grill, A. (1999) “Plasma-deposited diamondlike carbon and related materials,” IBM Journal of Research and Development, vol. 43, ½, http://research.ibm.com/journal/rd/431/grill.html.,14 pp.
Grill, et al. (1990) “Diamondlike carbon films by rf plasma-assisted chemical vapor deposition from acetylene,” IBM J. Res. Develop., 34(6):849-857.
Holmes et al. (1987) “Trimethylsilylacetylene”, Organic Syntheses, Coll. vol. 8, p. 606; vol. 65, p. 61.
Ikeda et al. (1992) “Top-PECVD”: A New Conformal Plasma Enhanced CVD Technology using TEOS, Ozone and Pulse-modulated RF Plasma, IEEE, pp. 11.2.1-11.2.4.
Jacobsohn et al. (1998) “Hydrogenated Carbon-Nitrogen Films Obtained by PECVD Using Acetylyne and Nitrogen As Precursor Gases,” Mat. Res. Soc. Symp. Proc., 498: 283-288.
Kragler et al. (1995) “Scanning tunneling microscopy based lithography employing amorphous hydrogenated carbon as a high resolution resist mask,” Appl. Phys. Lett. 67(8):1163-1165.
Kreupl, Franz et al. (2008) “Carbon-Based Resistive Memory,” presented at IEDM, submitted Jun. 26, 2008; accepted Aug. 4, 2008, 4 pages.
Lemaire, P.J., Walker, K.L., Kranz, K.S., Huff, R.G. and DiMarcello, F.V. “Diffusion of Hydrogen Through Hermetic Carbon Films on Silica Fibers,” AT&T Bell Laboratories, 600 Mountain Avenue, Murray Hill, NJ 07974. Mat. Res. Soc. Symp. Proc., 172:85-96 © 1990 Materials Research Society.
Muhl et al. (1999) “A Review of the Preparation of Carbon Nitride Films,” Diamond and Related Materials, 8: 1809-1830.
Ong, Soon-Eng et al. (2007) “Temperature effect on bonding structures of amorphous carbon containing more than 30at.% silicon,” Diamond & Related Materials, 16:1823-1827.
van de Ven et al. (1990) “Advantages of Dual Frequency PECVD for Deposition of ILD and Passivation Films,” VLSI Multilevel Interconnection Conference Proceedings, Seventh International IEEE, 194-201.
Voronkin et al. (1994) “Structure and mechanical properties of a-C:H films deposited onto polymer substrates: Diamond and Related Materials,” 4:5-9.
US Office Action, dated Aug. 6, 2015, issued in U.S. Appl. No. 14/185,757.
US Final Office Action, dated Aug. 4, 2014, issued in U.S. Appl. No. 13/974,808.
US Notice of Allowance, dated Oct. 23, 2014, issued in U.S. Appl. No. 13/974,808.
Taiwan Office Action, dated May 8, 2014, issued in TW Application No. 098142631.
Related Publications (1)
Number Date Country
20150093915 A1 Apr 2015 US
Provisional Applications (1)
Number Date Country
61884800 Sep 2013 US