The disclosure herein relates to semiconductor devices, packaging and associated methods.
As integrated circuit (IC) chips such as system on chips (SoCs) become larger, the yields realized in manufacturing the chips become smaller. Decreasing yields for larger chips increases overall costs for chip manufacturers. To address the yield problem, chiplet architectures have been proposed that favor a modular approach to SoCs. The solution employs smaller sub-processing chips, each containing a well-defined subset of functionality. Chiplets thus allow for dividing a complex design, such as a high-end processor or networking chip, into several small die instead of one large monolithic die.
When accessing memory, traditional chiplet architectures often employ relatively large and complex on-chip memory interfaces for transferring data between the chiplet and a specific memory type. In some situations, this may result in constraining the chiplet's usage for a variety of applications due to memory type inflexibility.
Embodiments of the disclosure are illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
Semiconductor devices, packaging architectures and associated methods are disclosed. In one embodiment, a multi-chip module (MCM) is disclosed. The MCM includes a common substrate and a first integrated circuit (IC) chiplet disposed on the common substrate. The first IC chiplet includes at least one processing element. A communications fabric integrated into the first IC chiplet switchably couples to the at least one processing element. A peripheral gearbox chiplet (PGC) includes a first port having a second memory-agnostic interface coupled to the first memory-agnostic interface of the first IC chiplet. The PGC includes a second port having a memory interface of a first type and interface conversion circuitry disposed between the second memory-agnostic interface and the memory interface of the first type. By employing a memory-agnostic interface on the first IC chiplet, and enabling memory-related communications to be handled via the communications fabric, specific memory interfaces may be utilized in the off-chip PGC, expanding the available applications for the first IC chiplet. For one embodiment, the communications fabric takes the form of network-on-chip (NoC) circuitry. For some embodiments, the memory-agnostic interface includes a NoC memory access controller (MAC) circuit and a universal die-to-die interface. In some embodiments, the NoC circuit enables communications between the first IC chiplet and the PGC via a packet protocol.
Throughout the disclosure provided herein, the term multi-chip module (MCM) is used to represent a semiconductor device that incorporates multiple semiconductor die or sub-packages in a single unitary package. An MCM may also be referred to as a system in a chip (SiP). With reference to
With continued reference to
For one embodiment, the on-chip communications fabric 112 comprises network-on-chip (NoC) circuitry. The NoC circuitry 112 generally serves as a form of network router or switch that allows the at least one processing unit 110 to communicate with other on-chip elements or nodes, such as at 114, and further allows the first IC chiplet 104 to cooperate with other NoC circuits that may be disposed in various other IC chiplets or memory chiplets disposed on the MCM 100. Thus, the NoC circuitry 112 is generally capable of transferring and/or receiving data and/or control signals via a packet-switched protocol to any other nodes within the MCM 100 that also have NoC interface circuitry.
Referring back to
Further referring to
With continued reference to
Further referring to
With continued reference to
While the MCM 100 of
Further referring to
With continued reference to
As a further alternative, and referring now to
While HBM memory works well for high-bandwidth applications, in certain circumstances, such as for high-capacity situations, double-data rate (DDR) dual inline memory modules (DIMMs) may be the preferred memory of choice for pairing with the MCM 100 (
Further referring to
Further referring to
Further referring to
Further referring to
With continued reference to
When received within a computer system via one or more computer-readable media, such data and/or instruction-based expressions of the above described circuits may be processed by a processing entity (e.g., one or more processors) within the computer system in conjunction with execution of one or more other computer programs including, without limitation, net-list generation programs, place and route programs and the like, to generate a representation or image of a physical manifestation of such circuits. Such representation or image may thereafter be used in device fabrication, for example, by enabling generation of one or more masks that are used to form various components of the circuits in a device fabrication process.
In the foregoing description and in the accompanying drawings, specific terminology and drawing symbols have been set forth to provide a thorough understanding of the present disclosure. In some instances, the terminology and symbols may imply specific details that are not required to practice embodiments of the disclosure. For example, any of the specific numbers of bits, signal path widths, signaling or operating frequencies, component circuits or devices and the like may be different from those described above in alternative embodiments. Also, the interconnection between circuit elements or circuit blocks shown or described as multi-conductor signal links may alternatively be single-conductor signal links, and single conductor signal links may alternatively be multi-conductor signal links. Signals and signaling paths shown or described as being single-ended may also be differential, and vice-versa. Similarly, signals described or depicted as having active-high or active-low logic levels may have opposite logic levels in alternative embodiments. Component circuitry within integrated circuit devices may be implemented using metal oxide semiconductor (MOS) technology, bipolar technology or any other technology in which logical and analog circuits may be implemented. With respect to terminology, a signal is said to be “asserted” when the signal is driven to a low or high logic state (or charged to a high logic state or discharged to a low logic state) to indicate a particular condition. Conversely, a signal is said to be “deasserted” to indicate that the signal is driven (or charged or discharged) to a state other than the asserted state (including a high or low logic state, or the floating state that may occur when the signal driving circuit is transitioned to a high impedance condition, such as an open drain or open collector condition). A signal driving circuit is said to “output” a signal to a signal receiving circuit when the signal driving circuit asserts (or deasserts, if explicitly stated or indicated by context) the signal on a signal line coupled between the signal driving and signal receiving circuits. A signal line is said to be “activated” when a signal is asserted on the signal line, and “deactivated” when the signal is deasserted. Additionally, the prefix symbol “/” attached to signal names indicates that the signal is an active low signal (i.e., the asserted state is a logic low state). A line over a signal name (e.g., ‘’) is also used to indicate an active low signal. The term “coupled” is used herein to express a direct connection as well as a connection through one or more intervening circuits or structures. Integrated circuit device “programming” may include, for example and without limitation, loading a control value into a register or other storage circuit within the device in response to a host instruction and thus controlling an operational aspect of the device, establishing a device configuration or controlling an operational aspect of the device through a one-time programming operation (e.g., blowing fuses within a configuration circuit during device production), and/or connecting one or more selected pins or other contact structures of the device to reference voltage lines (also referred to as strapping) to establish a particular device configuration or operation aspect of the device. The term “exemplary” is used to express an example, not a preference or requirement.
While aspects of the disclosure have been described with reference to specific embodiments thereof, it will be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the disclosure. For example, features or aspects of any of the embodiments may be applied, at least where practicable, in combination with any other of the embodiments or in place of counterpart features or aspects thereof. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.
This application is a Non-Provisional that claims priority to U.S. Provisional Application No. 63/435,540, filed Dec. 27, 2022, entitled UNIVERSAL NETWORK-ATTACHED MEMORY (UNAM), and U.S. Provisional Application No. 63/543,517, filed Oct. 11, 2023, entitled UNIVERSAL MEMORY INTERFACE (UMI) WITH HALF-DUPLEX BIDIRECTIONAL D2D & C2C PHYS FOR PACKET-BASED MEMORY TRAFFIC TRANSFER, all of which are incorporated herein by reference in their entirety.
Number | Name | Date | Kind |
---|---|---|---|
4334305 | Girardi | Jun 1982 | A |
5396581 | Mashiko | Mar 1995 | A |
5677569 | Choi | Oct 1997 | A |
5892287 | Hoffman | Apr 1999 | A |
5910010 | Nishizawa | Jun 1999 | A |
6031729 | Berkely | Feb 2000 | A |
6055235 | Blanc | Apr 2000 | A |
6417737 | Moloudi | Jul 2002 | B1 |
6690742 | Chan | Feb 2004 | B2 |
6721313 | Van Duyne | Apr 2004 | B1 |
6932618 | Nelson | Aug 2005 | B1 |
7027529 | Ohishi | Apr 2006 | B1 |
7248890 | Raghavan | Jul 2007 | B1 |
7269212 | Chau | Sep 2007 | B1 |
7477615 | Oshita | Jan 2009 | B2 |
7535958 | Best | May 2009 | B2 |
7701957 | Bicknell | Apr 2010 | B1 |
7978754 | Yeung | Jul 2011 | B2 |
8004330 | Acimovic | Aug 2011 | B1 |
8024142 | Gagnon | Sep 2011 | B1 |
8121541 | Rofougaran | Feb 2012 | B2 |
8483579 | Fukuda | Jul 2013 | B2 |
8546955 | Wu | Oct 2013 | B1 |
8861573 | Chu | Oct 2014 | B2 |
8948203 | Nolan | Feb 2015 | B1 |
8982905 | Kamble | Mar 2015 | B2 |
9088334 | Chakraborty | Jul 2015 | B2 |
9106229 | Hutton | Aug 2015 | B1 |
9129935 | Chandrasekar | Sep 2015 | B1 |
9294313 | Prokop | Mar 2016 | B2 |
9349707 | Sun | May 2016 | B1 |
9379878 | Lugthart | Jun 2016 | B1 |
9432298 | Smith | Aug 2016 | B1 |
9832006 | Bandi | Nov 2017 | B1 |
9886275 | Carlson | Feb 2018 | B1 |
9934842 | Mozak | Apr 2018 | B2 |
9961812 | Suorsa | May 2018 | B2 |
10171115 | Shirinfar | Jan 2019 | B1 |
10410694 | Arbel | Sep 2019 | B1 |
10439661 | Heydari | Oct 2019 | B1 |
10642767 | Farjadrad | May 2020 | B1 |
10678738 | Dai | Jun 2020 | B2 |
10735176 | Heydari | Aug 2020 | B1 |
10748852 | Sauter | Aug 2020 | B1 |
10855498 | Farjadrad | Dec 2020 | B1 |
10935593 | Goyal | Mar 2021 | B2 |
11088876 | Farjadrad | Aug 2021 | B1 |
11100028 | Subramaniam | Aug 2021 | B1 |
11204863 | Sheffler | Dec 2021 | B2 |
11841815 | Farjadrad | Dec 2023 | B1 |
11842986 | Ramin | Dec 2023 | B1 |
11855043 | Farjadrad | Dec 2023 | B1 |
11855056 | Rad | Dec 2023 | B1 |
11893242 | Farjadrad | Feb 2024 | B1 |
20020122479 | Agazzi | Sep 2002 | A1 |
20020136315 | Chan | Sep 2002 | A1 |
20040088444 | Baumer | May 2004 | A1 |
20040113239 | Prokofiev | Jun 2004 | A1 |
20040130347 | Moll | Jul 2004 | A1 |
20040156461 | Agazzi | Aug 2004 | A1 |
20050041683 | Kizer | Feb 2005 | A1 |
20050134306 | Stojanovic | Jun 2005 | A1 |
20050157781 | Ho | Jul 2005 | A1 |
20050205983 | Origasa | Sep 2005 | A1 |
20060060376 | Yoon | Mar 2006 | A1 |
20060103011 | Andry | May 2006 | A1 |
20060158229 | Hsu | Jul 2006 | A1 |
20060181283 | Wajcer | Aug 2006 | A1 |
20060188043 | Zerbe | Aug 2006 | A1 |
20060250985 | Baumer | Nov 2006 | A1 |
20060251194 | Bublil | Nov 2006 | A1 |
20070281643 | Kawai | Dec 2007 | A1 |
20080063395 | Royle | Mar 2008 | A1 |
20080143422 | Lalithambika | Jun 2008 | A1 |
20080186987 | Baumer | Aug 2008 | A1 |
20080222407 | Carpenter | Sep 2008 | A1 |
20090113158 | Schnell | Apr 2009 | A1 |
20090154365 | Diab | Jun 2009 | A1 |
20090174448 | Zabinski | Jul 2009 | A1 |
20090220240 | Abhari | Sep 2009 | A1 |
20090225900 | Yamaguchi | Sep 2009 | A1 |
20090304054 | Tonietto | Dec 2009 | A1 |
20100177841 | Yoon | Jul 2010 | A1 |
20100197231 | Kenington | Aug 2010 | A1 |
20100294547 | Hatanaka | Nov 2010 | A1 |
20110029803 | Redman-White | Feb 2011 | A1 |
20110038286 | Ta | Feb 2011 | A1 |
20110167297 | Su | Jul 2011 | A1 |
20110187430 | Tang | Aug 2011 | A1 |
20110204428 | Erickson | Aug 2011 | A1 |
20110267073 | Chengson | Nov 2011 | A1 |
20110293041 | Luo | Dec 2011 | A1 |
20120082194 | Tam | Apr 2012 | A1 |
20120182776 | Best | Jul 2012 | A1 |
20120192023 | Lee | Jul 2012 | A1 |
20120216084 | Chun | Aug 2012 | A1 |
20120327818 | Takatori | Dec 2012 | A1 |
20130181257 | Ngai | Jul 2013 | A1 |
20130222026 | Havens | Aug 2013 | A1 |
20130249290 | Buonpane | Sep 2013 | A1 |
20130285584 | Kim | Oct 2013 | A1 |
20140016524 | Choi | Jan 2014 | A1 |
20140048947 | Lee | Feb 2014 | A1 |
20140126613 | Zhang | May 2014 | A1 |
20140192583 | Rajan | Jul 2014 | A1 |
20140269860 | Brown | Sep 2014 | A1 |
20140269983 | Baeckler | Sep 2014 | A1 |
20150012677 | Nagarajan | Jan 2015 | A1 |
20150172040 | Pelekhaty | Jun 2015 | A1 |
20150180760 | Rickard | Jun 2015 | A1 |
20150206867 | Lim | Jul 2015 | A1 |
20150271074 | Hirth | Sep 2015 | A1 |
20150326348 | Shen | Nov 2015 | A1 |
20150358005 | Chen | Dec 2015 | A1 |
20160056125 | Pan | Feb 2016 | A1 |
20160071818 | Wang | Mar 2016 | A1 |
20160111406 | Mak | Apr 2016 | A1 |
20160217872 | Hossain | Jul 2016 | A1 |
20160294585 | Rahman | Oct 2016 | A1 |
20170317859 | Hormati | Nov 2017 | A1 |
20170331651 | Suzuki | Nov 2017 | A1 |
20180010329 | Golding, Jr. | Jan 2018 | A1 |
20180082981 | Gowda | Mar 2018 | A1 |
20180137005 | Wu | May 2018 | A1 |
20180175001 | Pyo | Jun 2018 | A1 |
20180190635 | Choi | Jul 2018 | A1 |
20180315735 | Delacruz | Nov 2018 | A1 |
20190044764 | Hollis | Feb 2019 | A1 |
20190058457 | Ran | Feb 2019 | A1 |
20190108111 | Levin | Apr 2019 | A1 |
20190198489 | Kim | Jun 2019 | A1 |
20200257619 | Sheffler | Aug 2020 | A1 |
20200373286 | Dennis | Nov 2020 | A1 |
20210082875 | Nelson | Mar 2021 | A1 |
20210117102 | Grenier | Apr 2021 | A1 |
20210181974 | Ghosh | Jun 2021 | A1 |
20210183842 | Fay | Jun 2021 | A1 |
20210225827 | Lanka | Jul 2021 | A1 |
20210258078 | Meade | Aug 2021 | A1 |
20210311900 | Malladi | Oct 2021 | A1 |
20210365203 | O | Nov 2021 | A1 |
20220051989 | Agarwal | Feb 2022 | A1 |
20220159860 | Winzer | May 2022 | A1 |
20220223522 | Scearce | Jul 2022 | A1 |
20230039033 | Zarkovsky | Feb 2023 | A1 |
20230068802 | Wang | Mar 2023 | A1 |
20230090061 | Zarkovsky | Mar 2023 | A1 |
20230181599 | Erickson | May 2023 | A1 |
Entry |
---|
Kurt Lender et al., “Questions from the Compute Express Link Exploring Coherent Memory and Innovative Cases Webinar”, Apr. 13, 2020, CXL Consortium, pp. 1-6. |
Planet Analog, “The basics of SerDes (serializers/deserializers) for interfacing”, Dec. 1, 2020, Planet Analog, as preserved by the internet Archive, pp. 1-9. |
Block Memory Generator v8.2 LogiCORE IP Product Guide Vivado Design Suite; Xilinx; Apr. 1, 2015. |
Universal Chiplet Interconnect Express (UCle) Specification, Revision 1.1, Version 1.0, Jul. 10, 2023. |
Hybrid Memory Cube Specification 2.1, Hybrid Memory Cube Consortium, HMC-30G-VSR PHY, 2014. |
Farjadrad et al., “A Bunch of Wires (BOW) Interface for Inter-Chiplet Communication”, 2019 IEEE Symposium on High-Performance Interconnects (HOTI), pp. 27-30, Oct. 2019. |
Universal Chiplet Interconnect Express (UCIe) Specification Rev. 1.0, Feb. 24, 2022. |
Number | Date | Country | |
---|---|---|---|
63543517 | Oct 2023 | US | |
63435540 | Dec 2022 | US |