1. Field of the Invention
The present invention is directed in general to the field of semiconductor devices. In one aspect, the present invention relates to the formation of contact plugs.
2. Description of the Related Art
Semiconductor devices typically include device components (such as transistors and capacitors) that are formed on or in a substrate as part of the front end of line (FEOL) processing. In addition, interconnect features (such as contacts, metal lines and vias) that connect the device components to the outside world are included as part of the back end of line (BEOL) integration process whereby one or more dielectric layers are formed in and between the interconnect features for purposes of electrically isolating the interconnect features and device components. Until recently, conventional metal deposition processes would fill the contact plug openings by depositing a layer of tungsten or copper over one or more underlying sub-layers. However, as the aspect ratios have increased with smaller sized devices, such as non-volatile memory (NVM) devices, the existing processes for forming the contact plug often result in the formation of contact plugs that have voids or cores formed therein. The voids result from the fact that conventional deposition processes do not form the metal layer uniformly inside the contact plug opening, but instead form the metal (e.g., tungsten) more thickly on the upper regions of the contact plug opening, leaving a void or core in the lower region. An example of such a conventional plug formation process is illustrated in
Accordingly, a need exists for an improved process for fabricating contact plugs that are void-free. In addition, there is a need for a void-free contact plug that can be effectively, efficiently and reliably integrated into the front end of line process. There is also a need for an improved contact plug formation process that will lower contact resistance and reduce copper diffusion. There is also a need for an improved semiconductor processes and devices to overcome the problems in the art, such as outlined above. Further limitations and disadvantages of conventional processes and technologies will become apparent to one of skill in the art after reviewing the remainder of the present application with reference to the drawings and detailed description which follow.
The present invention may be understood, and its numerous objects, features and advantages obtained, when the following detailed description is considered in conjunction with the following drawings, in which:
It will be appreciated that for simplicity and clarity of illustration, elements illustrated in the drawings have not necessarily been drawn to scale. For example, the dimensions of some of the elements are exaggerated relative to other elements for purposes of promoting and improving clarity and understanding. Further, where considered appropriate, reference numerals have been repeated among the drawings to represent corresponding or analogous elements.
A method and apparatus are described for forming a semiconductor device that has a void-free contact plug by sequentially depositing in a contact plug opening a contact layer (e.g., Ti) and one or more diffusion barrier layers, including a layer of tungsten, before filling the plug with electroplated copper. In a selected embodiment, the initial contact layer is formed by depositing titanium, which acts to reduce the formation of native oxide on an underlying silicide layer. By depositing a layer of titanium nitride over the contact layer, a fluorine barrier is formed to prevent a volatile fluorine reaction from occurring during a subsequent formation of a tungsten barrier layer. The titanium nitride may also provide a copper diffusion barrier function for the contact plug to prevent subsequently formed copper from diffusing through the titanium nitride layer. By depositing a thin tungsten barrier layer, a seed layer is formed for the subsequent copper electroplating step. In various embodiments, the tungsten barrier layer may be formed with an amorphous or small grain structure to act as a copper diffusion barrier to prevent subsequently-formed copper from diffusing through to the underlying layer(s). For example, the tungsten barrier layer may be formed with an amorphous or small grain structure by using a silicon source decomposition process (e.g., WF6+SiH4). When the barrier layer is formed with an amorphous material having a small grain nanocrystalline structure (e.g., grains smaller than approximately 50 Angstroms), the crystalline structure reduces or prevents the diffusion of subsequently deposited metal ions, as compared to the diffusion barrier properties of large grain materials which are not as effective in prevention diffusing of metal ions through to the underlying layer(s). After polishing the copper and barrier layer(s), any desired back end of line processing, such as standard CMOS BEOL processing, may be used to complete the device. With the disclosed methodology and apparatus, plug voids are reduced or eliminated, thereby increasing manufacturing yield, particularly for NVM products with aggressive contact plug aspect ratio, though the disclosed techniques can be used for any product or technology where voids in the plug limits yield.
Various illustrative embodiments of the present invention will now be described in detail with reference to the accompanying figures. While various details are set forth in the following description, it will be appreciated that the present invention may be practiced without these specific details, and that numerous implementation-specific decisions may be made to the invention described herein to achieve the device designer's specific goals, such as compliance with process technology or design-related constraints, which will vary from one implementation to another. While such a development effort might be complex and time-consuming, it would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure. For example, it is noted that, throughout this detailed description, certain layers of materials will be deposited and removed to form the depicted semiconductor structures. Where the specific procedures for depositing or removing such layers are not detailed below, conventional techniques to one skilled in the art for depositing, removing or otherwise forming such layers at appropriate thicknesses shall be intended. Such details are well known and not considered necessary to teach one skilled in the art of how to make or use the present invention. In addition, selected aspects are depicted with reference to simplified cross sectional drawings of a semiconductor device without including every device feature or geometry in order to avoid limiting or obscuring the present invention. Such descriptions and representations are used by those skilled in the art to describe and convey the substance of their work to others skilled in the art. It is also noted that, throughout this detailed description, certain elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some of the elements in the figures may be exaggerated relative to other elements to help improve the understanding of the embodiments of the present invention.
Beginning with
Using any desired front end of line processing, each of the device components 21, 22 may be formed as a MOSFET transistor, double gate fully depleted semiconductor-on-insulator (FDSOI) transistor, NVM transistor, capacitor, diode or any other integrated circuit component formed on the substrate 11. In the simplified device example illustrated in
Regardless of the specific type of device components 21, 22 formed on the substrate 20, the components are electrically isolated by blanket depositing a conformal or near conformal etch stop layer (not shown) and one or more pre-metal inter-level dielectric layers 23 over the device components 21, 22 by chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or any combination thereof to a thickness of approximately 500-10000 Angstroms, though other thicknesses may also be used. As will be appreciated, the inter-level dielectric layer 23 may be formed from one or more constituent layers, such as by depositing a layer of dielectric material. Other component layer materials and/or processes may be used to form the inter-level dielectric layer 23 above the substrate 20, such as by depositing or otherwise forming an oxide layer formed from tetraethylorthosilicate (TEOS), borophosphosilicate glass (BPSG), etc. After the inter-level dielectric layer 23 is formed to completely cover the top and sides of the device components 21, 22, the layer 23 is polished into a planarized dielectric layer, as illustrated in
A contact opening 24 is etched through the ILD 23 to expose an underlying device component, such as a source/drain region formed in a substrate 20. Though it will be appreciated that the contact opening 24a may also be formed in the ILD 23 to expose a gate electrode in a device component 21, 22, the description provided herein will focus on the contact opening 24 that exposes the active region of a substrate 20. For current state-of-the-art circuit designs, the contact opening 24 has a width of approximately 1000-3000 Angstroms, more preferably less than approximately 1500 Angstroms, resulting in an aspect ratio (height:width) of greater than about 3:1, and more preferably at least about 6:1 with floating gate NVM devices, though aspect ratios in future generation process technologies will be still higher. Any desired photolithography and/or selective etch techniques can be used to form the contact opening 24 that exposes a selected contact region over the source/drain region in the substrate 20, though a contact region 24a may also be located over a gate electrode. For example, the contact opening 24 may be formed by depositing and patterning a protective mask layer over the ILD 23 in which a contact hole is defined (not shown), and then anisotropic etching (e.g., reactive ion etching) the exposed ILD 23 to form the contact opening 24 with an etch process that produces contact opening sidewalls. In another embodiment, a three stage etch process is used which removes selected portions of a protective mask layer (not shown) formed over the ILD 23, the planarized ILD 23, and an etch stop layer (not shown) formed over a selected contact region (and/or gate electrode). As a preliminary step, a layer of photoresist (not shown) may be applied and patterned directly on the protective cap layer, though multi-layer masking techniques may also be used to define the location of the contact opening 24. The exposed portions of the protective cap layer, the ILD layer 23, and the etch stop layer are then removed by using the appropriate etchant processes to etch a contact opening 24, such as an anisotropic reactive ion etching (RIE) process using O2, N2, or a fluorine-containing gas. For example, an etch process that is selective for the material of the ILD 23 (such as an Argon, CHF3, or CF4 chemistry that is used to etch carbon-doped oxide film) is used to etch through the exposed portion of the ILD 23. One or more additional etch and/or ash processes may be used to remove any remaining layers.
Together, the initial contact layer 30, diffusion barrier layer 40 and seed/barrier layer 50 form a barrier/seed layer which provides a contact adhesive function and reduces native oxide at the underlying silicide surface. In addition, the barrier/seed layer provides one or more diffusion barrier functions for the contact plug. In yet another function, the barrier/seed layer provides a seed layer function for the electroplated copper 60. While the initial contact layer 30, diffusion barrier layer 40 and seed/barrier layer 50 can be formed in a single process chamber to increase process efficiency, preferably in a continuous process, the layers may also be formed in two or more process chambers.
As will be appreciated, additional processing steps may be used to complete the fabrication of the semiconductor device 79 into a functioning device. In addition to various front end processing steps (such as sacrificial oxide formation, stripping, isolation region formation, gate electrode formation, extension implant, halo implant, spacer formation, source/drain implant, annealing, silicide formation, and polishing steps), additional backend processing steps may be performed, such as forming multiple levels of interconnect(s) that are used to connect the device components in a desired manner to achieve the desired functionality. Thus, the specific sequence of steps used to complete the fabrication of the device components may vary, depending on the process and/or design requirements.
By now it should be appreciated that there has been provided a method for forming a contact plug in a semiconductor structure. Under one form of the method, a semiconductor structure is provided over which a dielectric layer (e.g., an inter-level dielectric layer) is formed. After a contact opening is formed through the dielectric layer to expose a contact region in an underlying semiconductor device, an initial contact layer (e.g., titanium or tantalum) is deposited into the contact opening. Subsequently, a barrier layer (e.g., titanium nitride) is deposited on the initial contact layer and into the contact opening, followed subsequently by the deposition of a metal seed layer (e.g., tungsten) on the barrier layer and into the contact opening, where the metal seed layer may have a substantially amorphous or small grain crystalline structure (e.g., nanocrystals that are no greater than approximately 50 Angstroms). The metal seed layer may be formed by depositing a tungsten layer using a physical vapor deposition process to sputter deposit a layer of tungsten on the barrier layer and into the contact opening, or by CVD using a silane or dichlorosilane decomposition of a tungsten-containing source (e.g., WF6) to deposit a layer of tungsten on the barrier layer and into the contact opening. After the contact, barrier and seed layers are formed in the contact opening, the contact opening is filled up from a bottom surface of the contact opening with a metal material, such as by electroplating copper on the metal seed layer to fill the contact opening without forming a void. Once the contact opening is filled, any excess conductive material may be removed from outside the contact opening by polishing the semiconductor structure down to at least the metal seed layer, such as by using a CMP process to remove any portion of the second metal material, metal seed layer, barrier layer and initial contact layer formed over the dielectric layer and outside the contact opening.
In another form, there is provided a method of forming a conductive structure in an opening in a partially fabricated integrated circuit. As described, a contact opening is formed through a dielectric layer to expose a contact region in an underlying semiconductor device. In the contact opening, an initial metal layer is deposited using a physical vapor deposition process (e.g., by sputtering titanium or tantalum) so that the initial metal layer overlays the side and bottom surfaces of the contact opening while leaving the contact opening substantially open. Subsequently, a metal nitride layer is deposited over the initial metal layer in the contact opening (e.g., by depositing titanium nitride by CVD) so that the metal nitride layer overlays the side and bottom surfaces of the contact opening while leaving the contact opening substantially open. Over the metal nitride layer, an amorphous or small grained metal seed layer is deposited in the contact opening so that the amorphous or small grained metal seed layer overlays the side and bottom surfaces of the contact opening while leaving the contact opening substantially open. The amorphous or small grained metal seed layer may be formed by depositing a tungsten layer in the contact opening using a physical vapor deposition process, or by depositing a tungsten layer in the contact opening using a silane or dichlorosilane decomposition of WF6. With these layers in place, copper is electroplated onto at least the side and bottom surfaces of the contact opening to fill the contact opening. Subsequently, a chemical mechanical polish process is applied to remove any portion of the electroplated copper, amorphous or small grained metal seed layer, metal nitride layer and initial metal layer formed outside the contact opening.
In yet another form, there is provided a method of forming a contact plug in a semiconductor structure by first forming a contact opening through a dielectric layer to expose a contact region in an underlying semiconductor device. In the contact opening, a titanium contact layer is deposited, followed by the deposition of a barrier layer onto the titanium contact layer and into the contact opening. Subsequently, a metal seed layer is deposited on the barrier layer and into the contact opening. In an example embodiment, the metal seed layer is formed using a silicon-containing gas that decomposes a tungsten-containing source to deposit a layer of amorphous tungsten on the barrier layer and into the contact opening. With these layers in place, the contact opening is filled up from a bottom surface of the contact opening with a metal material, such as by electroplating copper on the metal seed layer to fill the contact opening without forming a void. Any excess conductive material is removed from outside the contact opening by polishing the semiconductor structure down to at least the metal seed layer.
Although the described exemplary embodiments disclosed herein are directed to various semiconductor device structures and methods for making same, the present invention is not necessarily limited to the example embodiments which illustrate inventive aspects of the present invention that are applicable to a wide variety of semiconductor processes and/or devices. Thus, the particular embodiments disclosed above are illustrative only and should not be taken as limitations upon the present invention, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the methodology of the present invention may be applied using materials other than expressly set forth herein. In addition, the invention is not limited to any particular type of integrated circuit described herein. Accordingly, the foregoing description is not intended to limit the invention to the particular form set forth, but on the contrary, is intended to cover such alternatives, modifications and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims so that those skilled in the art should understand that they can make various changes, substitutions and alterations without departing from the spirit and scope of the invention in its broadest form.
Benefits, other advantages, and solutions to problems have been described above with regard to specific embodiments. However, the benefits, advantages, solutions to problems, and any element(s) that may cause any benefit, advantage, or solution to occur or become more pronounced are not to be construed as a critical, required, or essential feature or element of any or all the claims. As used herein, the terms “comprises,” “comprising,” or any other variation thereof, are intended to cover a non-exclusive inclusion, such that a process, method, article, or apparatus that comprises a list of elements does not include only those elements but may include other elements not expressly listed or inherent to such process, method, article, or apparatus.