Li-Kong Turn

Person

  • Hsin-Chu, TW

Patents Grantslast 30 patents

  • Information Patent Grant

    Developing method

    • Patent number 11,150,558
    • Issue date Oct 19, 2021
    • Taiwan Semiconductor Manufacturing Co., Ltd
    • Yi-Rem Chen
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Grant

    Developing method

    • Patent number 10,627,718
    • Issue date Apr 21, 2020
    • Taiwan Semiconductor Manufacturing Co., Ltd
    • Yi-Rem Chen
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Grant

    Developing method

    • Patent number 10,101,662
    • Issue date Oct 16, 2018
    • Taiwan Semiconductor Manufacturing Co., Ltd
    • Yi-Rem Chen
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Grant

    Systems and methods for edge bead removal

    • Patent number 9,908,201
    • Issue date Mar 6, 2018
    • Taiwan Semiconductor Manufacturing Company Limited
    • Chun-Hao Chang
    • B23 - MACHINE TOOLS METAL-WORKING NOT OTHERWISE PROVIDED FOR
  • Information Patent Grant

    Tool and method of developing

    • Patent number 9,733,568
    • Issue date Aug 15, 2017
    • Taiwan Semiconductor Manufacturing Co., Ltd
    • Yi-Rem Chen
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Overlay sampling methodology

    • Patent number 9,442,391
    • Issue date Sep 13, 2016
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Han-Ming Hsieh
    • G01 - MEASURING TESTING
  • Information Patent Grant

    Defect monitoring for resist layer

    • Patent number 8,852,673
    • Issue date Oct 7, 2014
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Che-Rong Laing
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Method and system for feed-forward advanced process control

    • Patent number 8,683,395
    • Issue date Mar 25, 2014
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Chih-Jen Yu
    • G06 - COMPUTING CALCULATING COUNTING
  • Information Patent Grant

    Method and system for feed-forward advanced process control

    • Patent number 8,429,569
    • Issue date Apr 23, 2013
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Chih-Jen Yu
    • G06 - COMPUTING CALCULATING COUNTING
  • Information Patent Grant

    Method of inhibiting photoresist pattern collapse

    • Patent number 8,101,340
    • Issue date Jan 24, 2012
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Ching-Yu Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Phase shift photomask performance assurance method

    • Patent number 8,048,589
    • Issue date Nov 1, 2011
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Yi-Ming Dai
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Reticle with antistatic coating

    • Patent number 7,514,184
    • Issue date Apr 7, 2009
    • Taiwan Semiconductor Manufacturing Co.
    • Wei-Yu Su
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Method to predict and identify defocus wafers

    • Patent number 7,301,604
    • Issue date Nov 27, 2007
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Chun-Hung Lin
    • G01 - MEASURING TESTING
  • Information Patent Grant

    Reticle with antistatic coating

    • Patent number 7,029,800
    • Issue date Apr 18, 2006
    • Taiwan Semiconductor Manufacturing Co. Ltd
    • Wei-Yu Su
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Method of wafer height mapping

    • Patent number 6,975,407
    • Issue date Dec 13, 2005
    • Taiwan Semiconductor Manufacturing Co, Ltd.
    • Chun-Sheng Wang
    • G01 - MEASURING TESTING
  • Information Patent Grant

    Damascene method employing multi-layer etch stop layer

    • Patent number 6,734,116
    • Issue date May 11, 2004
    • Taiwan Semiconductor Manufacturing Co., Ltd
    • Cheng-Cheng Guo
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Grant

    Frame layout to monitor overlay performance of chip composed of mul...

    • Patent number 6,330,355
    • Issue date Dec 11, 2001
    • Taiwan Semiconductor Manufacturing Company
    • Chia-Hsiang Chen
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Mark focusing system for steppers

    • Patent number 6,190,810
    • Issue date Feb 20, 2001
    • Taiwan Semiconductor Manufacturing Company
    • Feng-Liang Lai
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY

Patents Applicationslast 30 patents

  • Information Patent Application

    DEVELOPING METHOD

    • Publication number 20200241421
    • Publication date Jul 30, 2020
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Yi-Rem CHEN
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Application

    DEVELOPING METHOD

    • Publication number 20190049848
    • Publication date Feb 14, 2019
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Yi-Rem CHEN
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    DEVELOPING METHOD

    • Publication number 20170343899
    • Publication date Nov 30, 2017
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Yi-Rem CHEN
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Application

    SYSTEMS AND METHODS FOR EDGE BEAD REMOVAL

    • Publication number 20150298262
    • Publication date Oct 22, 2015
    • Taiwan Semiconductor Manufacturing Company Limited
    • CHUN-HAO CHANG
    • B23 - MACHINE TOOLS METAL-WORKING NOT OTHERWISE PROVIDED FOR
  • Information Patent Application

    Tool And Method Of Developing

    • Publication number 20150241786
    • Publication date Aug 27, 2015
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Yi-Rem Chen
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Overlay Sampling Methodology

    • Publication number 20140278213
    • Publication date Sep 18, 2014
    • Han-Ming Hsieh
    • G01 - MEASURING TESTING
  • Information Patent Application

    METHOD OF OPTIMIZING LITHOGRAPHY TOOLS UTILIZATION

    • Publication number 20140078478
    • Publication date Mar 20, 2014
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Yao-Hwan Kao
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Application

    METHOD AND SYSTEM FOR FEED-FORWARD ADVANCED PROCESS CONTROL

    • Publication number 20130239073
    • Publication date Sep 12, 2013
    • Chih-Jen Yu
    • G06 - COMPUTING CALCULATING COUNTING
  • Information Patent Application

    DEFECT MONITORING FOR RESIST LAYER

    • Publication number 20130108775
    • Publication date May 2, 2013
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Che-Rong Laing
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    METHOD AND SYSTEM FOR FEED-FORWARD ADVANCED PROCESS CONTROL

    • Publication number 20120264063
    • Publication date Oct 18, 2012
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Chih-Jen Yu
    • G06 - COMPUTING CALCULATING COUNTING
  • Information Patent Application

    Method of Inhibiting Photoresist Pattern Collapse

    • Publication number 20070264594
    • Publication date Nov 15, 2007
    • Ching-Yu Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Phase shift photomask performance assurance method

    • Publication number 20070026320
    • Publication date Feb 1, 2007
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Yi-Ming Dai
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Method for reducing mask precipitation defects

    • Publication number 20060201848
    • Publication date Sep 14, 2006
    • Ting-Yu Lin
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    METHOD OF WAFER HEIGHT MAPPING

    • Publication number 20050259272
    • Publication date Nov 24, 2005
    • Chun-Sheng Wang
    • G01 - MEASURING TESTING
  • Information Patent Application

    Wafer aligner with WEE (water edge exposure) function

    • Publication number 20050248754
    • Publication date Nov 10, 2005
    • Chun-Sheng Wang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Method and system for reducing and monitoring precipitated defects...

    • Publication number 20050191563
    • Publication date Sep 1, 2005
    • Yi-Ming Dai
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Reticle with antistatic coating

    • Publication number 20050186488
    • Publication date Aug 25, 2005
    • Taiwan Semiconductor Manufacturing Co. Ltd.
    • Wei-Yu Su
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Method to predict and identify defocus wafers

    • Publication number 20050185170
    • Publication date Aug 25, 2005
    • Chun-Hung Lin
    • G01 - MEASURING TESTING
  • Information Patent Application

    Reticle with antistatic coating

    • Publication number 20040076834
    • Publication date Apr 22, 2004
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Wei-Yu Su
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Damascene method employing multi-layer etch stop layer

    • Publication number 20030134521
    • Publication date Jul 17, 2003
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Cheng-Cheng Guo
    • H01 - BASIC ELECTRIC ELEMENTS