CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION AND RESIST PATTERN FORMING PROCESS

Abstract
A chemically amplified negative resist composition is provided comprising (A) a quencher in the form of a sulfonium salt of carboxylic acid having a nitrogen-bearing heterocycle and (B) a base polymer containing a specific polymer. The resist composition exhibits a high resolution during pattern formation and forms a pattern with improved LER, fidelity and dose margin.
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2022-089795 filed in Japan on Jun. 1, 2022, the entire contents of which are hereby incorporated by reference.


TECHNICAL FIELD

This invention relates to a chemically amplified negative resist composition and a resist pattern forming process using the same.


BACKGROUND ART

To meet the demand for higher integration density and operating speed of LSIs, the effort to reduce the pattern rule is in rapid progress. Acid-catalyzed chemically amplified resist compositions are most often used in forming resist patterns with a feature size of 0.2 μm or less. High-energy radiation such as UV, deep-UV or EB is used as the light source for exposure of these resist compositions. In particular, while EB lithography is utilized as the ultra-fine microfabrication technique, it is also indispensable in processing photomask blanks to form photomasks for use in semiconductor device fabrication.


Polymers comprising a major proportion of aromatic structure having an acidic side chain, for example, polyhydroxystyrene are useful in resist materials for the KrF excimer laser lithography. These polymers are not used in resist materials for the ArF excimer laser lithography because they exhibit strong absorption at a wavelength of around 200 nm. These polymers, however, are expected to form useful resist materials for the EB and EUV lithography for forming patterns of smaller size than the processing limit of ArF excimer laser because they offer high etching resistance.


Resist compositions for photolithography include positive ones in which exposed areas are dissolved away and negative ones in which exposed areas are left as a pattern. A viable composition is selected among them depending on the desired resist pattern. In general, the chemically amplified negative resist composition comprises a polymer which is normally soluble in an aqueous alkaline developer, an acid generator which is decomposed to generate an acid upon exposure to light, and a crosslinker which causes the polymer to crosslink in the presence of the acid serving as a catalyst, thus rendering the polymer insoluble in the developer (sometimes, the crosslinker is incorporated in the polymer). Most often a quencher is added for controlling the diffusion of the acid generated upon light exposure.


Typical of the alkali-soluble units to constitute polymers which dissolve in aqueous alkaline developer are units derived from phenols. A number of negative resist compositions of such type were developed, especially as adapted for exposure to KrF excimer laser light. These compositions have not been used in the ArF excimer laser lithography because the phenolic units are not transmissive to exposure light having a wavelength of 150 to 220 nm. Recently, these compositions are recognized attractive again as the negative resist composition for the short wavelength (e.g., EB or EUV) lithography capable of forming finer size patterns. Exemplary compositions are described in Patent Documents 1 to 3.


Attempts were made to ameliorate resist sensitivity and pattern profile in a controlled way by properly selecting and combining components used in resist compositions and adjusting processing conditions. One outstanding problem is the diffusion of acid because acid diffusion has a significant impact on the resolution of a chemically amplified resist composition.


The quencher is, in fact, essential for controlling acid diffusion and improving resist performance, especially resolution. Studies have been made on the quencher while amines and weak acid onium salts have been generally used. The weak acid onium salts are exemplified in several patent documents. For example, Patent Document 4 describes that the addition of triphenylsulfonium acetate ensures to form a satisfactory resist pattern without T-top profile, a difference in line width between isolated and grouped patterns, and standing waves. Patent Document 5 describes the addition of ammonium salts of sulfonic acids or carboxylic acids for achieving improvements in sensitivity, resolution and exposure margin. Also, Patent Document 6 describes that a resist composition for KrF or EB lithography comprising a PAG capable of generating a fluorinated carboxylic acid is improved in resolution and process latitudes such as exposure margin and depth of focus. Patent Document 7 describes that a resist composition for F2 lithography using F2 laser comprising a PAG capable of generating a fluorinated carboxylic acid is improved in LER and overcomes the footing problem. These compositions are used in the KrF, EB and F2 lithography processes.


Patent Document 8 describes a positive photosensitive composition for ArF lithography comprising a carboxylic acid onium salt. This system is based on the mechanism that a salt exchange occurs between a weak acid onium salt and a strong acid (sulfonic acid) generated by a PAG upon exposure, to form a weak acid and a strong acid onium salt. That is, the strong acid (sulfonic acid) having high acidity is replaced by a weak acid (carboxylic acid), thereby suppressing acid-catalyzed decomposition reaction of acid labile group and reducing or controlling the distance of acid diffusion. The onium salt apparently functions as a quencher.


Patent Document 9 describes to use a sulfonium salt of carboxylic acid containing a nitrogen-bearing heterocycle as a quencher. This sulfonium salt plays the role of a quencher for a fluorinated alkane sulfonic acid of addition type. No precise study has been made on this sulfonium salt as a quencher for use in negative resist compositions comprising polyhydroxystyrene as a base polymer used in the EB lithography process in the processing of mask blanks.


When patterns are formed using resist compositions comprising carboxylic acid onium salts or fluorocarboxylic acid onium salts as mentioned above, the levels of LER and resolution thus achieved are insufficient for the requirement of the recent technology where miniaturization is more advanced. It is desirable to have a quencher capable of reducing LER and improving resolution, pattern fidelity and dose margin.


CITATION LIST



  • Patent Document 1: JP-A 2006-201532

  • Patent Document 2: JP-A 2006-215180

  • Patent Document 3: JP-A 2008-249762

  • Patent Document 4: JP 3955384 (U.S. Pat. No. 6,479,210)

  • Patent Document 5: JP-A H11-327143

  • Patent Document 6: JP 4231622 (U.S. Pat. No. 6,485,883)

  • Patent Document 7: JP 4116340 (U.S. Pat. No. 7,214,467)

  • Patent Document 8: JP 4226803 (U.S. Pat. No. 6,492,091)

  • Patent Document 9: JP 6512049 (US 2017075218)



SUMMARY OF THE INVENTION

An object of the invention is to provide a chemically amplified negative resist composition which exhibits an improved resolution upon pattern formation and forms a pattern with reduced LER, high fidelity and improved dose margin, and a resist pattern forming process using the same.


The inventors have found that when a sulfonium salt of carboxylic acid having a nitrogen-bearing heterocycle is added to a resist composition as a quencher, the resist composition exhibits a satisfactory resolution and good pattern profile. A pattern with reduced LER, high fidelity and improved dose margin is obtainable from the resist composition.


In one aspect, the invention provides a chemically amplified negative resist composition comprising (A) a quencher containing a sulfonium salt having the formula (A1) and (B) a base polymer containing a polymer comprising repeat units having the formula (B1).




embedded image


Herein m is an integer of 0 to 2,

    • the circle R is a C2-C12 saturated heterocycle including the nitrogen atom in the formula which may contain an ether bond, ester bond, thioether bond or sulfonyl moiety,
    • R1 is an acid labile group,
    • R2 is halogen or a C1-C6 saturated hydrocarbyl group which may contain halogen,
    • R3 is a single bond or a C1-C10 saturated hydrocarbylene group which may contain an ether bond, ester bond or thioether bond,
    • R4, R5 and R6 are each independently halogen or a C1-C20 hydrocarbyl group which may contain a heteroatom, R4 and R5 may bond together to form a ring with the sulfur atom to which they are attached.




embedded image


Herein a1 is 0 or 1, a2 is an integer of 0 to 2, a3 is an integer satisfying 0≤a3≤5+2a2−a4, and a4 is an integer of 1 to 3,

    • RA is hydrogen, fluorine, methyl or trifluoromethyl,
    • R11 is halogen, an optionally halogenated C1-C6 saturated hydrocarbyl group, optionally halogenated C1-C6 saturated hydrocarbyloxy group, or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group,
    • A1 is a single bond or a C1-C10 saturated hydrocarbylene group in which any constituent —CH2— may be replaced by —O—.


In a preferred embodiment, the polymer further comprises repeat units having the formula (B2).




embedded image


Herein b1 is 0 or 1, b2 is an integer of 0 to 2, b3 is an integer satisfying 0≤b3≤5+2b2−b4, b4 is an integer of 1 to 3,

    • RA is hydrogen, fluorine, methyl or trifluoromethyl,
    • R12 is halogen, an optionally halogenated C1-C6 saturated hydrocarbyl group, optionally halogenated C1-C6 saturated hydrocarbyloxy group, or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group,
    • R13 and R14 are each independently hydrogen, a C1-C15 saturated hydrocarbyl group which may be substituted with hydroxy or saturated hydrocarbyloxy moiety, or an optionally substituted aryl group, with the proviso that both R13 and R14 are not hydrogen at the same time, and R13 and R14 may bond together to form a ring with the carbon atom to which they are attached,
    • A2 is a single bond or C1-C10 saturated hydrocarbylene group in which any constituent —CH2— may be replaced by —O—, and
    • W1 is hydrogen, a C1-C10 aliphatic hydrocarbyl group or optionally substituted aryl group.


In a preferred embodiment, the polymer further comprises repeat units of at least one type selected from repeat units having the formula (B3), repeat units having the formula (B4), and repeat units having the formula (B5).




embedded image


Herein c and d are each independently an integer of 0 to 4, e1 is 0 or 1, e2 is an integer of 0 to 5, and e3 is an integer of 0 to 2,

    • RA is hydrogen, fluorine, methyl or trifluoromethyl,
    • R21 and R22 are each independently hydroxy, halogen, an optionally halogenated C1-C8 saturated hydrocarbyl group, optionally halogenated C1-C8 saturated hydrocarbyloxy group, or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group,
    • R23 is a C1-C20 saturated hydrocarbyl group, C1-C20 saturated hydrocarbyloxy group, C2-C20 saturated hydrocarbylcarbonyloxy group, C2-C20 saturated hydrocarbyloxyhydrocarbyl group, C2-C20 saturated hydrocarbylthiohydrocarbyl group, halogen, nitro group, cyano group, sulfinyl group, or sulfonyl group,
    • A3 is a single bond or C1-C10 saturated hydrocarbylene group in which any constituent —CH2— may be replaced by —O—.


In a preferred embodiment, the polymer further comprises repeat units of at least one type selected from repeat units having the formulae (B6) to (B13).




embedded image


embedded image


Herein RB is each independently hydrogen or methyl,

    • Y1 is a single bond, a C1-C6 aliphatic hydrocarbylene group, phenylene group, naphthylene group or C7-C18 group obtained by combining the foregoing, —O—Y11—, —C(═O)—O—Y11—, or —C(═O)—NH—Y11—, Y11 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, naphthylene group or C7-C18 group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety,
    • Y2 is a single bond or —Y21—C(═O)—O—, Y21 is a C1-C20 hydrocarbylene group which may contain a heteroatom,
    • Y3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, trifluoromethyl-substituted phenylene, —O—Y31—, —C(═O)—O—Y31—, or —C(═O)—NH—Y31—, Y31 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, fluorinated phenylene group, trifluoromethyl-substituted phenylene group, or C7-C20 group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety,
    • Y4 is a single bond or C1-C30 hydrocarbylene group which may contain a heteroatom, f1 and f2 are each independently 0 or 1, f1 and f2 are 0 when Y4 is a single bond,
    • R31 to R48 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom, R31 and R32 may bond together to form a ring with the sulfur atom to which they are attached, R33 and R34, R36 and R37, or R39 and R41 may bond together to form a ring with the sulfur atom to which they are attached,
    • RHF is hydrogen or trifluoromethyl, and
    • Xa is a non-nucleophilic counter ion.


In a preferred embodiment, the polymer further comprises repeat units having the formula (B1-1), repeat units having the formula (B2-1) or repeat units having the formula (B2-2), and repeat units having the formula (B7):




embedded image




    • wherein a4, b4, RA, RB, Y2, R13, R14, R33, R34, R35, and RH are as defined above.





In a preferred embodiment, the base polymer (B) further contains a polymer comprising repeat units having formula (B1) and repeat units having formula (B2), but not repeat units having formulae (B6) to (B13).


Preferably, repeat units having an aromatic ring structure account for at least 60 mol % of the overall repeat units of the polymer in the base polymer.


The negative resist composition may further comprise (C) a crosslinker.


In another embodiment, the negative resist composition is free of a crosslinker.


In a preferred embodiment, the negative resist composition further comprises (D) a fluorinated polymer comprising repeat units of at least one type selected from repeat units having the formula (D1), repeat units having the formula (D2), repeat units having the formula (D3) and repeat units having the formula (D4) and optionally repeat units of at least one type selected from repeat units having the formula (D5) and repeat units having the formula (D6).




embedded image


Herein RC is each independently hydrogen, fluorine, methyl or trifluoromethyl,

    • RD is each independently hydrogen or methyl,
    • R101, R102, R104 and R105 are each independently hydrogen or a C1-C10 saturated hydrocarbyl group,
    • R103, R106, R107 and R108 are each independently hydrogen, a C1-C15 hydrocarbyl group, C1-C15 fluorinated hydrocarbyl group, or acid labile group, and when R103, R106, R107 and R108 each are a hydrocarbyl or fluorinated hydrocarbyl group, an ether bond or carbonyl moiety may intervene in a carbon-carbon bond,
    • R109 is hydrogen or a C1-C5 straight or branched hydrocarbyl group in which a heteroatom-containing moiety may intervene in a carbon-carbon bond,
    • R110 is a C1-C5 straight or branched hydrocarbyl group in which a heteroatom-containing moiety may intervene in a carbon-carbon bond,
    • R111 is a C1-C20 saturated hydrocarbyl group in which at least one hydrogen is substituted by fluorine, and in which some constituent —CH2— may be replaced by an ester bond or ether bond,
    • x is an integer of 1 to 3, y is an integer satisfying 0≤y≤5+2z−x, z is 0 or 1, g is an integer of 1 to 3,
    • Z1 is a C1-C20 (g+1)-valent hydrocarbon group or C1-C20 (g+1)-valent fluorinated hydrocarbon group,
    • Z2 is a single bond, *—C(═O)—O— or *—C(═O)—NH—, * designates a point of attachment to the carbon atom in the backbone,
    • Z3 is a single bond, —O—, *—C(═O)═O—Z31-Z32— or *—C(═O)—NH—Z31-Z32—Z31 is a single bond or C1-C10 saturated hydrocarbylene group, Z32 is a single bond, ester bond, ether bond, or sulfonamide bond, and * designates a point of attachment to the carbon atom in the backbone.


The negative resist composition may further comprise (E) an acid generator. Preferably, the photoacid generator has an anion having an acid strength (pKa) of −3.0 or larger. Also preferably, the acid generator (E) and the quencher (A) are present in a weight ratio of less than 6/1.


The negative resist composition may further comprise (F) an organic solvent.


In another aspect, the invention provides a resist pattern forming process comprising the steps of applying the chemically amplified negative resist composition defined herein onto a substrate to form a resist film thereon, exposing the resist film patternwise to high-energy radiation, and developing the exposed resist film in an alkaline developer.


Typically, the high-energy radiation is EUV or EB.


Typically, the substrate has the outermost surface of a material containing at least one element selected from chromium, silicon, tantalum, molybdenum, cobalt, nickel, tungsten, and tin.


The substrate is preferably a mask blank of transmission or reflection type.


In a further aspect, the invention provides a mask blank of transmission or reflection type which is coated with the chemically amplified negative resist composition defined herein.


Advantageous Effects of Invention

Owing to the sulfonium salt having formula (A1), the chemically amplified negative resist composition of the invention is effective for controlling acid diffusion during the exposure step. When the composition is coated as a resist film and processed to form a pattern, the resist film exhibits a very high resolution during pattern formation and forms a pattern with reduced LER, high fidelity and improved dose margin. Owing to the repeat units having formula (B1), when the resist composition is coated onto a substrate to form a resist film, the adhesion of the composition to the substrate is improved. Also, the dissolution of the resist film in alkaline developer is improved.


The resist pattern forming process using the resist composition is successful in forming a pattern with high resolution, reduced LER, high fidelity, and improved dose margin. The process is advantageously applicable to the miniaturization technology, especially EUV and EB lithography.







DETAILED DESCRIPTION OF THE INVENTION

As used herein, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. “Optional” or “optionally” means that the subsequently described event or circumstances may or may not occur, and that description includes instances where the event or circumstance occurs and instances where it does not. The notation (Cn-Cm) means a group containing from n to m carbon atoms per group. In chemical formulae, Me stands for methyl, Ac stands for acetyl, and the broken line denotes a valence bond. The terms “group” and “moiety” are interchangeable.


The abbreviations and acronyms have the following meaning.

    • PAG: photoacid generator
    • Mw: weight average molecular weight
    • Mn: number average molecular weight
    • Mw/Mn: molecular weight distribution or dispersity
    • GPC: gel permeation chromatography
    • PEB: post-exposure baking
    • LER: line edge roughness


It is understood that for some structures represented by chemical formulae, there can exist enantiomers and diastereomers because of the presence of asymmetric carbon atoms. In such a case, a single formula collectively represents all such isomers. The isomers may be used alone or in admixture.


Resist Composition


One embodiment of the invention is a chemically amplified negative resist composition comprising (A) a quencher containing a sulfonium salt of carboxylic acid having a nitrogen-bearing heterocycle and (B) a base polymer containing a specific polymer.


(A) Quencher


The quencher as component (A) contains a sulfonium salt having the formula (A1).




embedded image


In formula (A1), m is an integer of 0 to 2.


The circle R is a C2-C12 saturated heterocycle including the nitrogen atom in the formula, which may contain at least one moiety selected from ether bond, ester bond, thioether bond, and sulfonyl moiety.


The nitrogen-bearing C2-C12 saturated heterocycle may be mono- or polycyclic. In the case of polycyclic, a fused ring or bridged ring is preferred. Examples of the heterocycle include aziridine, azetidine, pyrrolidine, piperidine, azepane, azocane, azaadamantane, nortropane, oxazolidine, thiazolidine, morpholine, thiomorpholine, octahydroindole, octahydroisoindole, decahydroquinoline, decahydroisoquinoline, 3-azatricyclo[7.3.1.05,13]tridecane, 1-azaspiro[4.4]nonane, 1-azaspiro[4.5]decane, and carbazole rings.


In formula (A1), R1 is an acid labile group. Groups having the following formulae (AL-1) to (AL-19) are preferred as the acid labile group.




embedded image


embedded image


embedded image


In formulae (AL-1) to (AL-19), RL1 is each independently a saturated hydrocarbyl group or C6-C20 aryl group. RL2 and RL4 are each independently hydrogen or a C1-C20 saturated hydrocarbyl group. RL3 is a C6-C20 aryl group. The saturated hydrocarbyl group may be straight, branched or cyclic. Typical of the aryl group is phenyl. RF is fluorine or trifluoromethyl. The subscript n is an integer of 1 to 5.


It is preferred to select a tertiary hydrocarbyl group as the acid labile group because a pattern with reduced LER is obtained even when a resist film is formed to a thickness of 10 to 100 nm and exposed to a small size pattern of light so as to provide a line width of 45 nm or less. The tertiary hydrocarbyl group is preferably of 4 to 18 carbon atoms because a monomer for polymerization is collectable through distillation. The group attached to the tertiary carbon atom in the tertiary hydrocarbyl group is typically a C1-C15 saturated hydrocarbyl group which may contain an oxygen-containing functional group such as an ether bond or carbonyl group while the groups attached to the tertiary carbon atom may bond together to form a ring.


Examples of the group attached to the tertiary carbon atom include methyl, ethyl, propyl, adamantyl, norbornyl, tetrahydrofuran-2-yl, 7-oxanorbornan-2-yl, cyclopentyl, 2-tetrahydrofuryl, tricyclo[5.2.1.02,6]decyl, tetracyclo[4.4.0.12,5.17,10]dodecyl, and 3-oxo-1-cyclohexyl.


Examples of the tertiary hydrocarbyl group include tert-butyl, tert-pentyl, 1-ethyl-1-methylpropyl, 1,1-diethylpropyl, 1,1,2-trimethylpropyl, 1-adamantyl-1-methylethyl, 1-methyl-1-(2-norbornyl)ethyl, 1-methyl-1-(tetrahydrofuran-2-yl)ethyl, 1-methyl-1-(7-oxanorbornan-2-yl)ethyl, 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-propylcyclopentyl, 1-isopropylcyclopentyl, 1-cyclopentylcyclopentyl, 1-cyclohexylcyclopentyl, 1-(2-tetrahydrofuryl)cyclopentyl, 1-(7-oxanorbornan-2-yl)cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 1-isopropylcyclohexyl, 1-cyclopentylcyclohexyl, 1-cyclohexylcyclohexyl, 2-methyl-2-norbornyl, 2-ethyl-2-norbornyl, 8-methyl-8-tricyclo[5.2.1.02,6]decyl, 8-ethyl-8-tricyclo[5.2.1.02,6]decyl, 3-methyl-3-tetracyclo[4.4.0.12,5. 17,10]dodecyl, 3-ethyl-3-tetracyclo[4.4.0.12,5.17,10]dodecyl, 3-isopropyl-3-tetracyclo[4.4.0.12,5.17,10]dodecyl, 2-methyl-2-adamantyl, 2-ethyl-2-adamantyl, 2-isopropyl-2-adamantyl, 1-methyl-3-oxo-1-cyclohexyl, 1-methyl-1-(tetrahydrofuran-2-yl)ethyl, 5-hydroxy-2-methyl-2-adamantyl, 5-hydroxy-2-ethyl-2-adamantyl, and 2-(4-fluorophenyl)-2-propyl.


Also, an acetal group having the formula (AL-20) is often used as the acid labile group. It is a good choice of acid labile group that ensures to form a pattern having a relatively rectangular interface between pattern features and the substrate.




embedded image


In formula (AL-20), RL5 is hydrogen or a C1-C10 saturated hydrocarbyl group. RL6 is a C1-C30 saturated hydrocarbyl group. The saturated hydrocarbyl group may be straight, branched or cyclic.


RL5 is selected in accordance with how to design the sensitivity of the labile group to acid. For example, hydrogen is selected for the design that the group having a relatively high stability is decomposed with a strong acid. A straight alkyl group is selected for the design that utilizes a relatively high reactivity to provide a high sensitivity relative to pH changes. Depending on a combination with an acid generator and a basic compound blended in a resist composition, a hydrocarbyl group in which the carbon attached to the acetal carbon is a secondary carbon atom is preferably selected as RL5 for the design that is substituted at an end with a relatively higher alkyl group as RL6 and experiences a large solubility change upon decomposition. Examples of the group RL5 attached to the acetal carbon via a secondary carbon atom include isopropyl, sec-butyl, cyclopentyl and cyclohexyl.


Of the acetal groups, those groups wherein RL6 is a C7-C30 polycyclic alkyl group are preferred in order to gain a higher resolution. When RL6 is a polycyclic alkyl group, it is preferred that the secondary carbon in the polycyclic structure form a bond with the acetal oxygen. A polymer relying on an attachment on the secondary carbon atom in the cyclic structure is stable as compared with an attachment on the tertiary carbon atom, ensuring that the resist composition is improved in shelf stability and not degraded in resolution. The polymer has a high glass transition temperature (Tg) as compared with the case wherein RL6 is attached on the primary carbon atom via a straight alkyl group of at least one carbon atom, so that the resist pattern after development may not undergo geometrical failure during bake.


Preferred examples of the group having formula (AL-20) are shown below, but not limited thereto. Herein RL5 is as defined above.




embedded image


In formula (A1), R2 is halogen or a C1-C6 saturated hydrocarbyl group which may contain halogen. Suitable halogen atoms include fluorine, chlorine, bromine and iodine. The C1-C6 saturated hydrocarbyl group may be straight, branched or cyclic. Examples thereof include C1-C6 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, and n-hexyl, C3-C6 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclobutyl, cyclopentyl, and cyclohexyl, and combinations thereof.


In formula (A1), R3 is a single bond or a C1-C10 saturated hydrocarbylene group which may contain an ether bond, ester bond or thioether bond. The C1-C10 saturated hydrocarbylene group may be straight, branched or cyclic and examples thereof include alkanediyl groups such as methylene, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, and structural isomers thereof, cyclic saturated hydrocarbylene groups such as cyclopropanediyl, cyclobutanediyl, cyclopentanediyl, and cyclohexanediyl; and combinations thereof.


Examples of the anion in the sulfonium salt having formula (A1) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In formula (A1), R4, R5 and R6 are each independently halogen or a C1-C20 hydrocarbyl group which may contain a heteroatom. Suitable halogen atoms include fluorine, chlorine, bromine, and iodine. The C1-C20 hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C20 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, undecyl, dodecyl, tridecyl, tetradecyl, pentadecyl, heptadecyl, octadecyl, nonadecyl, and icosyl; C3-C20 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, and adamantyl; C2-C20 alkenyl groups such as vinyl, propenyl, butenyl and hexenyl; C3-C20 cyclic unsaturated aliphatic hydrocarbyl groups such as cyclohexenyl and norbornenyl; C2-C20 alkynyl groups such as ethynyl, propynyl and butynyl; C6-C20 aryl groups such as phenyl, methylphenyl, ethylphenyl, n-propylphenyl, isopropylphenyl, n-butylphenyl, isobutylphenyl, sec-butylphenyl, tert-butylphenyl, naphthyl, methylnaphthyl, ethylnaphthyl, n-propylnaphthyl, isopropylnaphthyl, n-butylnaphthyl, isobutylnaphthyl, sec-butylnaphthyl, and tert-butylnaphthyl; C7-C20 aralkyl groups such as benzyl and phenethyl; and combinations thereof. In the foregoing hydrocarbyl groups, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, fluorine, chlorine, bromine, iodine, cyano, nitro, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.


Also, R4 and R5 may bond together to form a ring with the sulfur atom to which they are attached. Examples of the ring are shown below.




embedded image


Examples of the cation in the sulfonium salt having formula (A1) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The sulfonium salt having formula (A1) may be synthesized, for example, by ion exchange of a carboxylic acid having the anion in formula (A1) with a sulfonium salt of weaker acid than the carboxylic acid. Typical of the weaker acid than the carboxylic acid is carbonic acid. Alternatively, the sulfonium salt may be synthesized by ion exchange of a sodium salt of a carboxylic acid having the anion in formula (A1) with a sulfonium chloride.


The sulfonium salt having formula (A1) effectively functions as the optimum quencher when applied to chemically amplified negative resist compositions.


In the chemically amplified negative resist composition, the sulfonium salt having formula (A1) is preferably present in an amount of 0.1 to 100 parts by weight, more preferably 1 to 50 parts by weight per 80 parts by weight of the base polymer (B) to be described later. An amount in the range allows the sulfonium salt having formula (A1) to function as the quencher, eliminating any performance losses such as a lowering of sensitivity and formation of foreign particles due to a shortage of solubility. The sulfonium salt having formula (A1) may be used alone or in admixture.


(B) Base Polymer


The base polymer as component (B) contains a polymer comprising repeat units having the following formula (B1). Notably, the polymer is referred to as polymer B and the units having formula (B1) are also referred to as units B1. The repeat units B1 are effective for providing etch resistance, adhesion to substrates, and solubility in alkaline developer.




embedded image


In formula (B1), a1 is 0 or 1. The subscript a2 is an integer of 0 to 2. The corresponding structure represents a benzene skeleton when a2=0, a naphthalene skeleton when a2=1, and an anthracene skeleton when a2=2. The subscript a3 is an integer in the range: 0≤a3≤5+2a2−a4, and a4 is an integer of 1 to 3. In case of a2=0, preferably a3 is an integer of 0 to 3, and a4 is an integer of 1 to 3. In case of a2=1 or 2, preferably a3 is an integer of 0 to 4, and a4 is an integer of 1 to 3.


In formula (B1), RA is hydrogen, fluorine, methyl or trifluoromethyl.


In formula (B1), R11 is halogen, an optionally halogenated C1-C6 saturated hydrocarbyl group, optionally halogenated C1-C6 saturated hydrocarbyloxy group or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group. The saturated hydrocarbyl group and saturated hydrocarbyl moiety in the saturated hydrocarbyloxy group and saturated hydrocarbylcarbonyloxy group may be straight, branched or cyclic. Examples thereof include alkyl groups such as methyl, ethyl, propyl, isopropyl, butyl, pentyl and hexyl; cycloalkyl groups such as cyclopropyl, cyclobutyl, cyclopentyl and cyclohexyl; and combinations thereof. A carbon count within the upper limit ensures a sufficient solubility in alkaline developer. When a3 is 2 or more, a plurality of groups R11 may be identical or different.


In formula (B1), A1 is a single bond or a C1-C10 saturated hydrocarbylene group in which any constituent —CH2— may be replaced by —O—. The saturated hydrocarbylene group may be straight, branched or cyclic and examples thereof include alkanediyl groups such as methylene, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, and structural isomers thereof; cyclic saturated hydrocarbylene groups such as cyclopropanediyl, cyclobutanediyl, cyclopentanediyl, and cyclohexanediyl; and combinations thereof. For the saturated hydrocarbylene group containing an ether bond, in case of a1=1 in formula (B1), the ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ester oxygen. In case of a1=0, the atom bonding to the backbone becomes an ether oxygen atom, and a second ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ether oxygen. Saturated hydrocarbylene groups having no more than 10 carbon atoms are desirable because of a sufficient solubility in alkaline developer.


Preferred examples of the repeat units B1 wherein a1=0 and A1 is a single bond (meaning that the aromatic ring is directly bonded to the main chain of the polymer), that is, repeat units free of a linker: —C(═O)—O-A1- include units derived from 3-hydroxystyrene, 4-hydroxystyrene, 5-hydroxy-2-vinylnaphthalene, and 6-hydroxy-2-vinylnaphthalene. More preferred are repeat units having the formula (B1-1).




embedded image


Herein RA and a4 are as defined above.


Preferred examples of the repeat units B1 wherein a1=1, that is, having a linker: —CO—O-A1- are shown below, but not limited thereto.




embedded image


Herein RA is as defined above.


The repeat units B1 may be of one type or a combination of plural types.


The polymer B may further comprise repeat units having the formula (B2). Notably the repeat units having formula (B2) are simply referred to as repeat units B2. Of the polymers B, a polymer further comprising repeat units B2 is referred to as polymer B′.




embedded image


Upon exposure to high-energy radiation, the repeat unit B2 functions such that the acid labile group undergoes elimination reaction under the action of an acid which is generated by the acid generator. That is, the unit B2 induces insolubilization in alkaline developer and crosslinking reaction between polymer molecules. The repeat unit B2 provides for efficient progress of negative-working reaction, leading to an improvement in resolution performance.


In formula (B2), b1 is 0 or 1, b2 is an integer of 0 to 2, b3 is an integer in the range: 0≤b3≤5+2b2−b4, and b4 is an integer of 1 to 3.


In formula (B2), RA is hydrogen, fluorine, methyl or trifluoromethyl.


In formula (B2), R11 is each independently halogen, an optionally halogenated C1-C6 saturated hydrocarbyl group, optionally halogenated C1-C6 saturated hydrocarbyloxy group, or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group. The saturated hydrocarbyl group and saturated hydrocarbyl moiety in the saturated hydrocarbyloxy group and saturated hydrocarbylcarbonyloxy group may be straight, branched or cyclic. Examples thereof include alkyl groups such as methyl, ethyl, propyl, isopropyl, butyl, pentyl, hexyl and structural isomers thereof; cycloalkyl groups such as cyclopropyl, cyclobutyl, cyclopentyl, and cyclohexyl; and combinations thereof. When b3 is 2 or more, a plurality of groups R12 may be identical or different.


In formula (B2), R13 and R14 are each independently hydrogen, a C1-C15 saturated hydrocarbyl group which may be substituted with hydroxy or saturated hydrocarbyl moiety, or an optionally substituted aryl group. It is excluded that R13 and R14 are hydrogen at the same time. R13 and R14 may bond together to form a ring with the carbon atom to which they are attached. R13 and R14 are preferably selected from alkyl groups such as methyl, ethyl, propyl, butyl and structural isomers thereof, and substituted forms of the foregoing alkyl groups in which some hydrogen is substituted by a hydroxy or saturated hydrocarbyloxy moiety.


In formula (B2), A2 is a single bond or C1-C10 saturated hydrocarbylene group in which a constituent —CH2— may be replaced by —O—. The saturated hydrocarbylene group may be straight, branched or cyclic and examples thereof include alkanediyl groups such as methylene, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, and structural isomers thereof; cyclic saturated hydrocarbylene groups such as cyclopropanediyl, cyclobutanediyl, cyclopentanediyl, and cyclohexanediyl; and combinations thereof. For the saturated hydrocarbylene group containing an ether bond, in case of b1=1 in formula (B2), the ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ester oxygen. In case of b1=0, the atom bonding to the backbone becomes an ether oxygen atom, and a second ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ether oxygen.


In formula (B2), W1 is hydrogen, a C1-C10 aliphatic hydrocarbyl group, or an optionally substituted aryl group. The aliphatic hydrocarbyl group may be straight, branched or cyclic and examples thereof include alkyl groups such as methyl, ethyl, propyl, and isopropyl; and cyclic aliphatic hydrocarbyl groups such as cyclopentyl, cyclohexyl, and adamantyl. Typical of the aryl groups is phenyl. In the aliphatic hydrocarbyl group, a constituent —CH2— may be replaced by —O—, —C(═O)—, —O—C(═O)— or —C(═O)—O—. The constituent —CH2— in the hydrocarbyl group may be one bonded to the oxygen atom in formula (B2). Typical of the replaced group is methylcarbonyl.


Of the repeat units B2, repeat units having formula (B2-1) or (B2-2) are preferred.




embedded image


Herein RA, R13, R14, and b4 are as defined above.


Preferred examples of the repeat unit B2 are given below, but not limited thereto. Herein RA is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The repeat unit B2 may be of one type or a combination of plural types.


For the purpose of improving etch resistance, preferably the polymer B further comprises repeat units of at least one type selected from repeat units having the formula (B3), repeat units having the formula (B4) and repeat units having the formula (B5). Notably these units are simply referred to as repeat units B3, B4 and B5.




embedded image


In formulae (B3) and (B4), c and d are each independently an integer of 0 to 4.


In formulae (B3) and (B4), R21 and R22 are each independently hydroxy, halogen, an optionally halogenated C1-C8 saturated hydrocarbyl group, optionally halogenated C1-C8 saturated hydrocarbyloxy group, or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group. The saturated hydrocarbyl group, saturated hydrocarbyloxy group, and saturated hydrocarbylcarbonyloxy group may be straight, branched or cyclic. When c is 2 or more, a plurality of groups R2 may be identical or different. When d is 2 or more, a plurality of groups R22 may be identical or different.


In formula (B5), e1 is 0 or 1, and e2 is an integer of 0 to 5. The subscript e3 is an integer of 0 to 2; the corresponding structure represents a benzene skeleton when e3=0, a naphthalene skeleton when e3=1, and an anthracene skeleton when e3=2. In case e3=0, preferably e2 is an integer of 0 to 3; in case e3=1 or 2, preferably e2 is an integer of 0 to 4.


In formula (B5), RA is as defined above. R23 is a C1-C20 saturated hydrocarbyl group, C1-C20 saturated hydrocarbyloxy group, C2-C20 saturated hydrocarbylcarbonyloxy group, C2-C20 saturated hydrocarbyloxyhydrocarbyl group, C2-C20 saturated hydrocarbylthiohydrocarbyl group, halogen atom, nitro group, cyano group, sulfinyl group, or sulfonyl group. The saturated hydrocarbyl group, saturated hydrocarbyloxy group, saturated hydrocarbylcarbonyloxy group, saturated hydrocarbyloxyhydrocarbyl group, and saturated hydrocarbylthiohydrocarbyl group may be straight, branched or cyclic. When e2 is 2 or more, a plurality of groups R23 may be identical or different.


R23 is preferably selected from halogen atoms such as chlorine, bromine and iodine; saturated hydrocarbyl groups such as methyl, ethyl, propyl, butyl, pentyl, hexyl, cyclopentyl, cyclohexyl, and structural isomers thereof, and saturated hydrocarbyloxy groups such as methoxy, ethoxy, propoxy, butoxy, pentyloxy, hexyloxy, cyclopentyloxy, cyclohexyloxy, and structural isomers of their hydrocarbon moiety. Inter alia, methoxy and ethoxy are most useful.


The saturated hydrocarbylcarbonyloxy group may be readily introduced into a polymer even after polymerization, by a chemical modification method and is advantageously utilized for fine adjustment of the solubility of the polymer in alkaline developer. Examples of the saturated hydrocarbylcarbonyloxy group include methylcarbonyloxy, ethylcarbonyloxy, propylcarbonyloxy, butylcarbonyloxy, pentylcarbonyloxy, hexylcarbonyloxy, cyclopentylcarbonyloxy, cyclohexylcarbonyloxy, benzoyloxy, and structural isomers of their hydrocarbon moiety. As long as the carbon count is equal to or less than 20, an appropriate effect of controlling or adjusting (typically reducing) the solubility of the polymer in alkaline developer is obtainable, and the generation of scum or development defects may be suppressed.


Of the foregoing preferred substituent groups, such substituent groups as chlorine, bromine, iodine, methyl, ethyl and methoxy are useful because the corresponding monomers may be readily prepared.


In formula (B5), A3 is a single bond or C1-C10 saturated hydrocarbylene group in which any constituent —CH2— may be replaced by —O—. The saturated hydrocarbylene group may be straight, branched or cyclic. Examples thereof include alkanediyl groups such as methylene, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, and structural isomers thereof; cyclic saturated hydrocarbylene groups such as cyclopropanediyl, cyclobutanediyl, cyclopentanediyl, and cyclohexanediyl; and combinations thereof. For the saturated hydrocarbylene group containing an ether bond, in case of e1=1 in formula (B5), the ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ester oxygen. In case of e1=0, the atom bonding to the backbone becomes an ether oxygen atom, and a second ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ether oxygen. Saturated hydrocarbylene groups having no more than 10 carbon atoms are desirable because of a sufficient solubility in alkaline developer.


Preferred examples of the repeat units B5 wherein e1 is 0 and A3 is a single bond (meaning that the aromatic ring is directly bonded to the main chain of the polymer), that is, repeat units free of the linker: —C(═O)—O-A3- include units derived from styrene, 4-chlorostyrene, 4-bromostyrene, 4-methylstyrene, 4-methoxystyrene, 4-acetoxystyrene, 2-hydroxypropylstyrene, 2-vinylnaphthalene, and 3-vinylnaphthalene.


Preferred examples of the repeat units B5 wherein e1 is 1, that is, having the linker: —C(═O)—O-A3- are shown below, but not limited thereto. RA is as defined above.




embedded image


embedded image


embedded image


When repeat units of at least one type selected from repeat units B3 to B5 are incorporated, better performance is obtained because not only the aromatic ring possesses etch resistance, but the cyclic structure incorporated into the main chain also exerts the effect of improving resistance to etching and EB irradiation during pattern inspection step.


The repeat units B3 to B5 may be of one type or a combination of plural types.


The polymer B′ may further comprise repeat units of at least one type selected from repeat units having the formula (B6), repeat units having the formula (B7), repeat units having the formula (B8), repeat units having the formula (B9), repeat units having the formula (B10), repeat units having the formula (B11), repeat units having the formula (B12), and repeat units having the formula (B13). Notably these repeat units are also referred to as repeat units B6 to B13. The repeat units B6 to B13 are effective for suppressing acid diffusion and forming patterns with improved resolution and reduced LER.




embedded image


In formulae (B6) to (B13), RB is each independently hydrogen or methyl. Y1 is a single bond, a C1-C6 aliphatic hydrocarbylene group, phenylene group, naphthylene group, or C7-C18 group obtained by combining the foregoing, —O—Y11—, —C(═O)—O—Y11—, or —C(═O)—NH—Y11—, wherein Y11 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, naphthylene group, or C7-C18 group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety. Y2 is a single bond or —Z21—C(═O)—O—, wherein Y21 is a C1-C20 hydrocarbylene group which may contain a heteroatom. Y3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, trifluoromethyl-substituted phenylene group, —O—Y31—, —C(═O)—O—Y31—, or —C(═O)—NH—Y31—, wherein Y31 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, fluorinated phenylene group, trifluoromethyl-substituted phenylene group, or C7-C20 group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety. Y4 is a single bond or a C1-C30 hydrocarbylene group which may contain a heteroatom. The subscripts f1 and f2 are each independently 0 or 1. When Y4 is a single bond, f1 and f2 are 0.


In formulae (B7) and (B11) wherein Y2 is —Y21—C(═O)—O—, Y21 is a C1-C20 hydrocarbylene group which may contain a heteroatom, examples of which are shown below, but not limited thereto.




embedded image


In formulae (B7) and (B111), RHF is hydrogen or trifluoromethyl. Examples of the repeat units B7 and B11 wherein RHF is hydrogen include those described in JP-A 2010-116550. Examples of the repeat units B7 and B11 wherein RHF is trifluoromethyl include those described in JP-A 2010-077404. Examples of the repeat units B8 and B12 include those described in JP-A 2012-246265 and JP-A 2012-246426.


In formulae (B6) and (B10), Xa is a non-nucleophilic counter ion, examples of which include those described in JP-A 2010-113209 and JP-A 2007-145797.


Preferred examples of the anion in the monomers from which repeat units B9 and B13 are derived are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In formulae (B6) to (B13), R31 to R48 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic, and examples thereof are as exemplified above for the hydrocarbyl groups R4, R5 and R6 in formula (A1). In the hydrocarbyl group, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, fluorine, chlorine, bromine, iodine, cyano moiety, nitro moiety, carbonyl moiety, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.


A pair of R31 and R32 may bond together to form a ring with the sulfur atom to which they are attached. Also, R33 and R34, R36 and R37, or R39 and R40 may bond together to form a ring with the sulfur atom to which they are attached. Examples of the ring are as exemplified for the ring that R3 and R4 in formula (A1), taken together, form with the sulfur atom to which they are attached.


Examples of the sulfonium cation in repeat units B7 to B9 are as exemplified for the cation in the sulfonium salt having formula (A1). Exemplary structures of the iodonium cation in repeat units B11 to B13 are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


The repeat units B6 to B13 are capable of generating an acid upon receipt of high-energy radiation. With the relevant units bound into a polymer, an appropriate control of acid diffusion becomes possible, and a pattern with reduced LER can be formed. Since the acid-generating unit is bound to a polymer, the phenomenon that acid volatilizes from the exposed region and re-deposits on the unexposed region during bake in vacuum is suppressed. This is effective for reducing LER and for suppressing unwanted negative-working reaction in the unexposed region for thereby reducing defects.


Each of the repeat units B6 to B13 may be of one type or a combination of plural types.


In the polymer, (meth)acrylate and other repeat units having an adhesive group such as lactone structure or hydroxy group other than phenolic hydroxy may be incorporated for fine adjustment of properties of a resist film.


Examples of the (meth)acrylate unit having an adhesive group include repeat units having the following formulae (B14) to (B16), which are also referred to as repeat units B14 to B16. While these units do not exhibit acidity, they may be used as auxiliary units for providing adhesion to substrates or adjusting solubility.




embedded image


In formulae (B14) to (B16), RA is as defined above. R51 is —O— or methylene. R52 is hydrogen or hydroxy. R53 is a C1-C4 saturated hydrocarbyl group, and k is an integer of 0 to 3. Each of the repeat units B14 to B16 may be of one type or a combination of plural types.


In polymer B, an appropriate content of repeat units B1 is 30 to 95 mol %, more preferably 50 to 85 mol % for establishing a high contrast between a region which is exposed to high-energy radiation and turns negative and the unexposed region (which does not turn negative) for the purpose of achieving high resolution. An appropriate content of repeat units B2 is 5 to 70 mol %, more preferably 10 to 60 mol % for gaining the effect of promoting negative-working reaction. An appropriate content of repeat units B3 to B5 is 0 to 30 mol %, more preferably 3 to 20 mol % for gaining the effect of improving etch resistance. The other repeat units may be incorporated in a range of 0 to 30 mol %, preferably 0 to 20 mol %.


Where the polymer B′ is free of repeat units B6 to B13, the polymer B′ preferably contains 25 to 95 mol %, more preferably 40 to 85 mol % of repeat units B1. An appropriate content of repeat units B3 to B5 is 0 to 30 mol %, more preferably 3 to 20 mol %. An appropriate content of repeat units B2 is 5 to 70 mol %, more preferably 10 to 60 mol %. The other repeat units may be incorporated in a range of 0 to 30 mol %, preferably 0 to 20 mol %.


Where the polymer B′ contains repeat units B6 to B13, the polymer B′ preferably contains 25 to 94.5 mol %, more preferably 36 to 85 mol % of repeat units B1. An appropriate content of repeat units B3 to B5 is 0 to 30 mol %, more preferably 3 to 20 mol %. An appropriate content of repeat units B2 is 5 to 70 mol %, more preferably 10 to 60 mol %. The total content of repeat units B1 to B5 is preferably 60 to 99.5 mol %. An appropriate content of repeat units B6 to B13 is 0.5 to 20 mol %, more preferably 1 to 10 mol %. The other repeat units may be incorporated in a range of 0 to 30 mol %, preferably 0 to 20 mol %.


It is noted that the repeat units B1 to B5 preferably account for at least 60 mol %, more preferably at least 70 mol %, even more preferably at least 80 mol % of the overall repeat units of the polymer. This range ensures that the polymer has necessary properties as the chemically amplified negative resist composition.


Preferably, the polymer B′ comprises repeat units having the formula (B1-1), repeat units having the formula (B2-1) or (B2-2), and repeat units having the formula (B7).




embedded image


Herein RA, RB, Y2, R13, R14, R33, R34, R35, RB, a4, and b4 are as defined above.


Where the polymer B′ is used as the base polymer (B), it may be a mixture of a polymer free of repeat units B6 to B13 and a polymer comprising repeat units B6 to B13. In this embodiment, the polymer free of repeat units B6 to B13 is preferably used in an amount of 2 to 5,000 parts, more preferably 10 to 1,000 parts by weight per 100 parts by weight of the polymer comprising repeat units B6 to B13.


Reference is now made to the use of the chemically amplified negative resist composition in the fabrication of photomasks. The lithography of the advanced generation employs a coating film having a thickness of up to 150 nm, preferably up to 100 nm. Since an intense development process is often employed to minimize defects resulting from resist residues, the base polymer should preferably have a dissolution rate in alkaline developer (typically 2.38 wt % tetramethylammonium hydroxide (TMAH) aqueous solution) of up to 80 nm/sec, more preferably up to 50 nm/sec in order to form a small size pattern. When the chemically amplified negative resist composition is used in the EUV lithography process for fabricating an LSI chip from a wafer, for example, the coating film often has a thickness of up to 100 nm, in view of the necessity of patterning narrow lines of 50 nm or less. In consideration of the risk that the pattern of such thin film can be degraded by development, the polymer preferably has a dissolution rate of up to 80 nm/sec, more preferably up to 50 nm/sec.


The polymer may be synthesized by combining suitable monomers optionally protected with a protective group, copolymerizing them in the standard way, and effecting deprotection reaction if necessary. The copolymerization reaction is preferably radical or anionic polymerization though not limited thereto. For the polymerization reaction, reference may be made to WO 2006/121096, JP-A 2004-115630, JP-A 2008-102383, and JP-A 2008-304590.


The polymer should preferably have a Mw of 1,000 to 50,000, and more preferably 2,000 to 20,000. A Mw of at least 1,000 eliminates the risk that pattern features are rounded at their top, inviting degradations of resolution and LER. A Mw of up to 50,000 eliminates the risk that LER is increased when a pattern with a line width of up to 100 nm is formed. As used herein, Mw is measured by GPC versus polystyrene standards.


The polymer preferably has a narrow molecular weight distribution or dispersity (Mw/Mn) of 1.0 to 2.0, more preferably 1.0 to 1.8. A polymer with such a narrow dispersity eliminates the risk that foreign particles are left on the pattern after development and the pattern profile is aggravated.


(C) Crosslinker


When the base polymer (B) does not contain polymer B′, the negative resist composition preferably comprises a crosslinker as component (C). When the base polymer (B) contains polymer B′, a crosslinker need not be added.


Suitable crosslinkers which can be used herein include epoxy compounds, melamine compounds, guanamine compounds, glycoluril compounds and urea compounds having substituted thereon at least one group selected from among methylol, alkoxymethyl and acyloxymethyl groups, isocyanate compounds, azide compounds, and compounds having a double bond such as an alkenyloxy group. These compounds may be used as an additive or introduced into a polymer side chain as a pendant. Hydroxy-containing compounds may also be used as the crosslinker.


Of the foregoing crosslinkers, examples of suitable epoxy compounds include tris(2,3-epoxypropyl) isocyanurate, trimethylolmethane triglycidyl ether, trimethylolpropane triglycidyl ether, and triethylolethane triglycidyl ether.


Examples of the melamine compound include hexamethylol melamine, hexamethoxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups methoxymethylated and mixtures thereof, hexamethoxyethyl melamine, hexaacyloxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups acyloxymethylated and mixtures thereof.


Examples of the guanamine compound include tetramethylol guanamine, tetramethoxymethyl guanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethoxyethyl guanamine, tetraacyloxyguanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof.


Examples of the glycoluril compound include tetramethylol glycoluril, tetramethoxyglycoluril, tetramethoxymethyl glycoluril, tetramethylol glycoluril compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethylol glycoluril compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof.


Examples of the urea compound include tetramethylol urea, tetramethoxymethyl urea, tetramethylol urea compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, and tetramethoxyethyl urea.


Suitable isocyanate compounds include tolylene diisocyanate, diphenylmethane diisocyanate, hexamethylene diisocyanate and cyclohexane diisocyanate.


Suitable azide compounds include 1,1′-biphenyl-4,4′-bisazide, 4,4′-methylidenebisazide, and 4,4′-oxybisazide.


Examples of the alkenyloxy-containing compound include ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,2-propanediol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, neopentyl glycol divinyl ether, trimethylol propane trivinyl ether, hexanediol divinyl ether, 1,4-cyclohexanediol divinyl ether, pentaerythritol trivinyl ether, pentaerythritol tetravinyl ether, sorbitol tetravinyl ether, sorbitol pentavinyl ether, and trimethylol propane trivinyl ether.


An appropriate amount of the crosslinker (C) used is 0.1 to 50 parts, and more preferably 1 to 30 parts by weight per 80 parts by weight of the base polymer (B). As long as the amount of the crosslinker is in the range, the risk of resolution being reduced by forming bridges between pattern features is mitigated. The crosslinkers may be used alone or in admixture.


(D) Fluorinated Polymer


The negative resist composition may further comprise a fluorinated polymer which contains repeat units of at least one type selected from repeat units having the formula (D1), repeat units having the formula (D2), repeat units having the formula (D3), and repeat units having the formula (D4), and which may contain repeat units of at least one type selected from repeat units having the formula (D5) and repeat units having the formula (D6), for the purposes of enhancing contrast, preventing chemical flare of acid upon exposure to high-energy radiation, preventing mixing of acid from an anti-charging film in the step of coating an anti-charging film-forming material on a resist film, and suppressing unexpected unnecessary pattern degradation. It is noted that repeat units having formulae (D1), (D2), (D3), (D4), (D5), and (D6) are also referred to as repeat units D1, D2, D3, D4, D5, and D6, respectively, hereinafter. Since the fluorinated polymer also has a surface active function, it can prevent insoluble residues from re-depositing onto the substrate during the development step and is thus effective for preventing development defects.




embedded image


In formulae (D1) to (D6), RC is each independently hydrogen, fluorine, methyl or trifluoromethyl. RD is each independently hydrogen or methyl. R101, R102, R104 and R105 are each independently hydrogen or a C1-C10 saturated hydrocarbyl group. R103, R106, R107 and R108 are each independently hydrogen, a C1-C15 hydrocarbyl group or fluorinated hydrocarbyl group, or an acid labile group, with the proviso that an ether bond or carbonyl moiety may intervene in a carbon-carbon bond in the hydrocarbyl groups or fluorinated hydrocarbyl groups represented by R103, R106, R107 and R108. R109 is hydrogen or a C1-C5 straight or branched hydrocarbyl group in which a heteroatom-containing moiety may intervene in a carbon-carbon bond. R110 is a C1-C5 straight or branched hydrocarbyl group in which a heteroatom-containing moiety may intervene in a carbon-carbon bond. R111 is a C1-C20 saturated hydrocarbyl group in which at least one hydrogen is substituted by fluorine and some constituent —CH2— may be replaced by an ester bond or ether bond. The subscript x is an integer of 1 to 3, y is an integer satisfying: 0≤y≤5+2z−x, z is 0 or 1, and g is an integer of 1 to 3. Z1 is a C1-C20 (g+1)-valent hydrocarbon group or C1-C20 (g+1)-valent fluorinated hydrocarbon group. Z2 is a single bond, *—C(═O)—O— or *—C(═O)—NH— wherein the asterisk (*) designates a point of attachment to the carbon atom in the backbone. Z3 is a single bond, —O—, *—C(═O)—O—Z31-Z32— or *—C(═O)—NH—Z31-Z32—, wherein Z31 is a single bond or a C1-C10 saturated hydrocarbylene group, Z32 is a single bond, ester bond, ether bond or sulfonamide bond, and the asterisk (*) designates a point of attachment to the carbon atom in the backbone.


In formulae (D1) and (D2), examples of the C1-C10 saturated hydrocarbyl group represented by R101, R102, R104 and R105 include C1-C10 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-heptyl, n-octyl, n-nonyl, and n-decyl, and C3-C10 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, adamantyl, and norbornyl. Inter alia, C1-C6 saturated hydrocarbyl groups are preferred.


In formulae (D1) to (D4), examples of the C1-C15 hydrocarbyl group represented by R103, R106, R107 and R108 include C1-C15 alkyl, C2-C15 alkenyl and C2-Cis alkynyl groups, with the alkyl groups being preferred. Suitable alkyl groups include methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, n-pentyl, n-hexyl, n-heptyl, n-octyl, n-nonyl, n-decyl, n-undecyl, n-dodecyl, n-tridecyl, n-tetradecyl and n-pentadecyl. The fluorinated hydrocarbyl groups correspond to the foregoing hydrocarbyl groups in which some or all carbon-bonded hydrogen atoms are substituted by fluorine atoms.


In formula (D4), examples of the C1-C20 (g+1)-valent hydrocarbon group Z1 include the foregoing C1-C20 alkyl groups and C3-C20 cyclic saturated hydrocarbyl groups, with g number of hydrogen atoms being eliminated. Examples of the C1-C20 (g+1)-valent fluorinated hydrocarbon group Z1 include the foregoing (g+1)-valent hydrocarbon groups in which at least one hydrogen atom is substituted by fluorine.


Examples of the repeat units D1 to D4 are given below, but not limited thereto. Herein RC is as defined above.




embedded image


embedded image


embedded image


embedded image


In formula (D5), examples of the C1-C5 hydrocarbyl groups R109 and R110 include alkyl, alkenyl and alkynyl groups, with the alkyl groups being preferred. Suitable alkyl groups include methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, and n-pentyl. In these groups, a moiety containing a heteroatom such as oxygen, sulfur or nitrogen may intervene in a carbon-carbon bond.


In formula (D5), —OR109 is preferably a hydrophilic group. In this case, R109 is preferably hydrogen or a C1-C5 alkyl group in which oxygen intervenes in a carbon-carbon bond.


In formula (D5), Z2 is preferably *—C(═O)—O— or *—C(═O)—NH—. Also preferably RD is methyl. The inclusion of carbonyl in Z2 enhances the ability to trap the acid originating from the anti-charging film. A polymer wherein RD is methyl is a robust polymer having a high Tg which is effective for suppressing acid diffusion. As a result, the resist film is improved in stability with time, and neither resolution nor pattern profile is degraded.


Examples of the repeat unit D5 are given below, but not limited thereto. Herein RD is as defined above.




embedded image


embedded image


embedded image


embedded image


In formula (D6), the C1-C10 saturated hydrocarbylene group Z3 may be straight, branched or cyclic and examples thereof include methanediyl, ethane-1,1-diyl, ethane-1,2-diyl, propane-1,1-diyl, propane-1,2-diyl, propane-1,3-diyl, propane-2,2-diyl, butane-1,1-diyl, butane-1,2-diyl, butane-1,3-diyl, butane-2,3-diyl, butane-1,4-diyl, and 1,1-dimethylethane-1,2-diyl.


The C1-C20 saturated hydrocarbyl group having at least one hydrogen substituted by fluorine, represented by R111, may be straight, branched or cyclic and examples thereof include C1-C20 alkyl groups and C3-C20 cyclic saturated hydrocarbyl groups in which at least one hydrogen is substituted by fluorine.


Examples of the repeat unit D6 are given below, but not limited thereto. Herein RD is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


The repeat units D1 to D4 are preferably incorporated in an amount of 15 to 95 mol %, more preferably 20 to 85 mol % based on the overall repeat units of the fluorinated polymer. The repeat unit D5 and/or D6 is preferably incorporated in an amount of 5 to 85 mol %, more preferably 15 to 80 mol % based on the overall repeat units of the fluorinated polymer. Each of repeat units D1 to D6 may be used alone or in admixture.


The fluorinated polymer may comprise additional repeat units as well as the repeat units D1 to D6. Suitable additional repeat units include those described in U.S. Pat. No. 9,091,918 (JP-A 2014-177407, paragraphs [0046]-[0078]). When the fluorinated polymer comprises additional repeat units, their content is preferably up to 50 mol % based on the overall repeat units.


The fluorinated polymer may be synthesized by combining suitable monomers optionally protected with a protective group, copolymerizing them in the standard way, and effecting deprotection reaction if necessary. The copolymerization reaction is preferably radical or anionic polymerization though not limited thereto. For the polymerization reaction, reference may be made to JP-A 2004-115630.


The fluorinated polymer should preferably have a Mw of 2,000 to 50,000, and more preferably 3,000 to 20,000. A fluorinated polymer with a Mw of less than 2,000 helps acid diffusion, degrading resolution and detracting from age stability. A polymer with too high Mw has a reduced solubility in solvent, with a risk of leaving coating defects. The fluorinated polymer preferably has a dispersity (Mw/Mn) of 1.0 to 2.2, more preferably 1.0 to 1.7.


In the negative resist composition, the fluorinated polymer (D) is preferably used in an amount of 0.01 to 30 parts, more preferably 0.1 to 20 parts, even more preferably 0.5 to 10 parts by weight per 80 parts by weight of the base polymer (B). The fluorinated polymer may be used alone or in admixture.


(E) Acid Generator


The negative resist composition may further comprise an acid generator as component (E). The acid generator used herein may be any compound (PAG) capable of generating an acid in response to actinic ray or radiation. The PAG used herein is not particularly limited as long as it can generate an acid upon exposure to high-energy radiation. Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate acid generators.


Suitable PAGs include nonafluorobutane sulfonate, partially fluorinated sulfonates described in JP-A 2012-189977, paragraphs [0247]-[0251], partially fluorinated sulfonates described in JP-A 2013-101271, paragraphs [0261]-[0265], and those described in JP-A 2008-111103, paragraphs [0122]-[0142] and JP-A 2010-215608, paragraphs [0080]-[0081]. Among others, arylsulfonate and alkanesulfonate type PAGs are preferred because they generate acids having an appropriate strength to promote the reaction of crosslinker (C) with base polymer (B).


In order that the effect of improving LER is exerted by combining the PAG with the quencher (A), the PAG preferably generates an acid having a pKa value of −3.0 or larger, more preferably in the range of −3.0 to 2.0, even more preferably in the range of −2.0 to 1.5. A compound having an anion of the structure shown below is preferred as such PAG. Examples of the pairing cation include the above-illustrated examples of the sulfonium cation in formulae (A1) and (B7) to (B9) and the above-illustrated examples of the iodonium cation in formulae (B11) to (B13).




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


When the resist composition contains the acid generator (E), an appropriate amount of the acid generator used is 1 to 30 parts, more preferably 2 to 20 parts by weight per 80 parts by weight of the base polymer. In the embodiment wherein the base polymer contains repeat units B6 to B13, that is, in the case of polymer-bound acid generator, the addition of acid generator (E) may be omitted. The acid generator may be used alone or in admixture.


In the embodiment wherein the chemically amplified negative resist composition contains the quencher (A) and the acid generator (E), the acid generator (E) and the quencher (A) are preferably present in a weight ratio (E/A) of less than 6/1, more preferably less than 5/1, even more preferably less than 4/1. As long as the ratio of acid generator (E) to quencher (A) is in the range, it is possible to fully suppress acid diffusion, leading to improved resolution and dimensional uniformity.


(F) Organic Solvent


The chemically amplified negative resist composition may further comprise an organic solvent as component (F). The organic solvent used herein is not particularly limited as long as the components are soluble therein. Examples of the organic solvent are described in JP-A 2008-111103, paragraphs [0144] to [0145] (U.S. Pat. No. 7,537,880). Specifically, exemplary solvents include ketones such as cyclohexanone, cyclopentanone, methyl-2-n-pentyl ketone and 2-heptanone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, and diacetone alcohol; ethers such as propylene glycol monomethyl ether (PGME), ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate (EL), ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate, and propylene glycol mono-t-butyl ether acetate; and lactones such as γ-butyrolactone (GBL), and mixtures thereof.


Of the above organic solvents, it is recommended to use 1-ethoxy-2-propanol, PGMEA, PGME, cyclohexanone, EL, GBL, and mixtures thereof.


In the negative resist composition, the organic solvent (F) is preferably used in an amount of 200 to 10,000 parts, more preferably 400 to 6,000 parts by weight per 80 parts by weight of the base polymer (B). The organic solvent may be used alone or in admixture.


(G) Basic Compound


In the negative resist composition, (G) a basic compound may be added as the quencher other than component (A) for the purpose of correcting a pattern profile or the like. The basic compound is effective for controlling acid diffusion. Even when the resist film is applied to a substrate having an outermost surface layer made of a chromium-containing material, the basic compound is effective for minimizing the influence of the acid generated in the resist film on the chromium-containing material.


Numerous basic compounds are known useful including primary, secondary, and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds with carboxy group, nitrogen-containing compounds with sulfonyl group, nitrogen-containing compounds with hydroxy group, nitrogen-containing compounds with hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide derivatives, imide derivatives, carbamate derivatives, and ammonium salts. Examples are described in Patent Document 9, for example, and any such compounds are useful. Of the foregoing basic compounds, preferred are tris[2-(methoxymethoxy)ethyl]amine, tris[2-(methoxymethoxy)ethyl]amine-N-oxide, dibutylaminobenzoic acid, morpholine derivatives and imidazole derivatives.


In the negative resist composition, the basic compound (G) is preferably added in an amount of 0 to 20 parts, and more preferably 0 to 10 parts by weight per 80 parts by weight of the base polymer (B). The basic compounds may be used alone or in admixture.


(H) Surfactant


The negative resist composition may contain any conventional surfactants for facilitating to coat the composition to the substrate. Exemplary surfactants include PF-636 (Omnova Solutions Inc.) and FC-4430 (3M) as well as a number of known surfactants as described in JP-A 2004-115630. Any suitable one may be chosen therefrom. The amount of the surfactant (H) added is preferably 0 to 5 parts by weight per 80 parts by weight of the base polymer (B). The surfactant may be used alone or in admixture.


Process


Another embodiment of the invention is a resist pattern forming process comprising the steps of applying the negative resist composition defined above onto a substrate to form a resist film thereon, exposing the resist film patternwise to high-energy radiation, and developing the resist film in an alkaline developer to form a resist pattern.


Pattern formation using the negative resist composition of the invention may be performed by well-known lithography processes. In general, the resist composition is first applied onto a substrate for IC fabrication (e.g., Si, SiO, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflective coating, etc.) or a substrate for mask circuit fabrication (e.g., Cr, CrO, CrON, MoSi2, Si, SiO, SiO2, SiON, SiONC, CoTa, NiTa, TaBN, SnO2, etc.) by a suitable coating technique such as spin coating. The coating is prebaked on a hotplate preferably at a temperature of 60 to 150° C. for 1 to 20 minutes, more preferably at 80 to 140° C. for 1 to 10 minutes to form a resist film of 0.03 to 2 μm thick.


Then the resist film is exposed patternwise to high-energy radiation such as UV, deep-UV, excimer laser (KrF, ArF), EUV, x-ray, γ-ray or synchrotron radiation or EB. The resist composition of the invention is especially effective in the KrF excimer laser, EUV or EB lithography.


On use of UV, deep-UV, EUV, excimer laser, x-ray, γ-ray or synchrotron radiation as the high-energy radiation, the resist film is exposed through a mask having a desired pattern, preferably in a dose of 1 to 500 mJ/cm2, more preferably 10 to 400 mJ/cm2. On use of EB, a pattern may be written directly in a dose of preferably 1 to 500 μC/cm2, more preferably 10 to 400 μC/cm2.


The exposure may be performed by conventional lithography whereas the immersion lithography of holding a liquid, typically water between the mask and the resist film may be employed if desired. In the case of immersion lithography, a protective film which is insoluble in water may be used.


The resist film is then baked (PEB) on a hotplate preferably at 60 to 150° C. for 1 to 20 minutes, more preferably at 80 to 140° C. for 1 to 10 minutes.


Thereafter, the resist film is developed with a developer in the form of an aqueous base solution, for example, 0.1 to 5 wt %, preferably 2 to 3 wt % aqueous solution of tetramethylammonium hydroxide (TMAH) preferably for 0.1 to 3 minutes, more preferably 0.5 to 2 minutes by conventional techniques such as dip, puddle and spray techniques. In this way, a desired resist pattern is formed on the substrate.


From the negative resist composition, a pattern with a high resolution and minimal LER can be formed. The resist composition is effectively applicable to a substrate, specifically a substrate having a surface layer of material to which a resist film is less adherent and which is likely to invite pattern stripping or pattern collapse, and particularly a substrate having sputter deposited on its outermost surface metallic chromium or a chromium compound containing at least one light element selected from oxygen, nitrogen and carbon or a substrate having an outermost surface layer of SiO, SiOx, or a tantalum, molybdenum, cobalt, nickel, tungsten or tin compound. The substrate to which the negative resist composition is applied is most typically a photomask blank which may be either of transmission or reflection type.


The mask blank of transmission type is typically a photomask blank having a light-shielding film of chromium-based material. It may be either a photomask blank for binary masks or a photomask blank for phase shift masks. In the case of the binary mask-forming photomask blank, the light-shielding film may include an antireflection layer of chromium-based material and a light-shielding layer. In one example, the antireflection layer on the surface layer side is entirely composed of a chromium-based material. In an alternative example, only a surface side portion of the antireflection layer on the surface layer side is composed of a chromium-based material and the remaining portion is composed of a silicon compound-based material which may contain a transition metal. In the case of the phase shift mask-forming photomask blank, it may include a phase shift film and a chromium-based light-shielding film thereon.


Photomask blanks having an outermost layer of chromium base material are well known as described in JP-A 2008-026500 and JP-A 2007-302873 and the references cited therein. Although the detail description is omitted herein, the following layer construction may be employed when a light-shielding film including an antireflective layer and a light-shielding layer is composed of chromium base materials.


In the example where a light-shielding film including an antireflective layer and a light-shielding layer is composed of chromium base materials, layers may be stacked in the order of an antireflective layer and a light-shielding layer from the outer surface side, or layers may be stacked in the order of an antireflective layer, a light-shielding layer, and an antireflective layer from the outer surface side. Each of the antireflective layer and the light-shielding layer may be composed of multiple sub-layers. When the sub-layers have different compositions, the composition may be graded discontinuously or continuously from sub-layer to sub-layer. The chromium base material used herein may be metallic chromium or a material consisting of metallic chromium and a light element such as oxygen, nitrogen or carbon. Examples used herein include metallic chromium, chromium oxide, chromium nitride, chromium carbide, chromium oxynitride, chromium oxycarbide, chromium nitride carbide, and chromium oxide nitride carbide.


The mask blank of reflection type includes a substrate, a multilayer reflective film formed on one major surface (front surface) of the substrate, for example, a multilayer reflective film of reflecting exposure radiation such as EUV radiation, and an absorber film formed on the multilayer reflective film, for example, an absorber film of absorbing exposure radiation such as EUV radiation to reduce reflectivity. From the reflection type mask blank (reflection type mask blank for EUV lithography), a reflection type mask (reflection type mask for EUV lithography) having an absorber pattern (patterned absorber film) formed by patterning the absorber film is produced. The EUV radiation used in the EUV lithography has a wavelength of 13 to 14 nm, typically about 13.5 nm.


The multilayer reflective film is preferably formed contiguous to one major surface of a substrate. An underlay film may be disposed between the substrate and the multilayer reflective film as long as the benefits of the invention are not lost. The absorber film may be formed contiguous to the multilayer reflective film while a protective film (protective film for the multilayer reflective film) may be disposed between the multilayer reflective film and the absorber film, preferably contiguous to the multilayer reflective film, more preferably contiguous to the multilayer reflective film and the absorber film. The protective film is used for protecting the multilayer reflective film in a cleaning, tailoring or otherwise processing step. Also preferably, the protective film has an additional function of protecting the multilayer reflective film or preventing the multilayer reflective film from oxidation during the step of patterning the absorber film by etching. Besides, an electroconductive film, which is used for electrostatic chucking of the reflection type mask to an exposure tool, may be disposed below the other major surface (back side surface) which is opposed to the one major surface of the substrate, preferably contiguous to the other major surface. It is provided herein that a substrate has one major surface which is a front or upper side surface and another major surface which is a back or lower side surface. The terms “front and back” sides or “upper and lower” sides are used for the sake of convenience. One or another major surface may be either of the two major surfaces (film-bearing surfaces) of a substrate, and in this sense, front and back or upper and lower are exchangeable. Specifically, the multilayer reflective film may be formed by any of the methods of JP-A 2021-139970 and the references cited therein.


The resist pattern forming process is successful in forming patterns having a very high resolution, reduced LER, fidelity, and dose margin even on a substrate (typically mask blank of transmission or reflection type) whose outermost surface is made of a material tending to affect resist pattern profile such as a chromium or silicon-containing material.


Examples

Examples of the invention are given below by way of illustration and not by way of limitation. The abbreviation “pbw” is parts by weight. For copolymers, the compositional ratio is a molar ratio and Mw is determined by GPC versus polystyrene standards.


Chemically amplified negative resist compositions were prepared using a quencher or comparative quencher, polymer, acid generator, and fluorinated polymer.


Quenchers Q-1 to Q-8 have the following structure.




embedded image


embedded image


Comparative quenchers cQ-1 to cQ-3 have the following structure.




embedded image


Polymers P-1 to P-30 have the structure shown in Table 1.






















TABLE 1








Incorporation

Incorporation

Incorporation

Incorporation

Incorporation





Unit
ratio
Unit
ratio
Unit
ratio
Unit
ratio
Unit
ratio



1
(mol %)
2
(mol %)
3
(mol %)
4
(mol %)
5
(mol %)
Mw
Mw/Mn




























P-1
A-1
80.0
B-1
10.0
B-5
10.0




4,500
1.65


P-2
A-1
80.0
B-2
8.0
B-4
12.0




4,400
1.64


P-3
A-1
60.0
B-2
10.0
C-1
30.0




3,700
1.62


P-4
A-1
70.0
B-2
7.0
C-2
23.0




3,600
1.63


P-5
A-1
70.0
B-2
10.0
C-3
20.0




3,900
1.65


P-6
A-1
30.0
B-2
10.0
C-4
60.0




3,900
1.62


P-7
A-1
45.0
B-2
10.0
C-5
45.0




4,100
1.63


P-8
A-1
55.0
B-4
10.0
C-1
35.0




4,000
1.63


P-9
A-1
66.0
B-2
9.0
C-1
21.5
E-1
3.5


13,000
1.62


P-10
A-1
60.0
B-2
4.0
C-1
24.0
E-1
12.0


15,000
1.65


P-11
A-1
67.0
B-2
10.0
C-1
18.5
E-2
4.5


14,000
1.63


P-12
A-1
67.0
B-2
9.3
C-1
20.0
E-3
3.7


13,500
1.63


P-13
A-1
67.3
B-2
10.0
C-1
17.5
E-4
5.2


13,200
1.64


P-14
A-1
64.1
B-2
9.5
C-1
22.0
E-5
4.4


12,800
1.62


P-15
A-1
64.0
B-2
10.0
C-1
22.8
E-6
3.2


13,500
1.63


P-16
A-1
62.0
B-3
10.0
C-1
24.3
E-1
3.7


12,400
1.66


P-17
A-2
60.5
B-4
10.0
C-1
24.4
E-2
5.1


12,300
1.65


P-18
A-1
70.0
C-1
30.0






4,200
1.69


P-19
A-1
80.0
B-2
5.0
C-1
15.0




4,300
1.67


P-20
A-1
80.0
B-2
2.5
C-1
15.0
E-1
2.5


12,100
1.69


P-21
A-2
50.0
C-1
30.0
D-1
20.0




4,600
1.67


P-22
A-2
50.0
B-2
2.5
C-1
30.0
D-1
15.0
E-1
2.5
12,700
1.73


P-23
A-2
50.0
C-1
30.0
D-2
20.0




5,400
1.72


P-24
A-2
50.0
C-1
30.0
D-3
20.0




6,100
1.73


P-25
A-2
50.0
C-1
30.0
D-4
20.0




7,000
1.76


P-26
A-1
67.5
B-2
2.5
C-1
30.0




4,100
1.65


P-27
A-1
57.5
B-2
2.5
C-1
30.0
E-5
10


11,000
1.65


P-28
A-1
65.0
C-1
25.0
E-7
10.0




13,000
1.80


P-29
A-1
65.0
C-1
25.0
E-8
10.0




12,500
1.80


P-30
A-3
76.0
B-2
6.0
C-1
18.0




4,500
1.68









The structure of each unit in Table 1 is shown below.




embedded image


embedded image


embedded image


embedded image


embedded image


Acid generators PAG-A to PAG-F have the following structure.




embedded image


embedded image


Fluorinated Polymers FP-1 to FP-5 have the following structure.




embedded image


[1] Preparation of chemically amplified negative resist compositions


Examples 1-1 to 1-52 and Comparative Examples 1-1 to 1-4


Chemically amplified negative resist compositions (R-1 to R-52, CR-1 to CR-4) were prepared by dissolving selected components in an organic solvent in accordance with the formulation shown in Tables 2 to 4, and filtering the solution through a UPE filter or nylon filter with a pore size of 10 nm, 5 nm, 3 nm or 1 nm. The organic solvent was a mixture of 790 pbw of PGMEA, 1,580 pbw of EL, and 1,580 pbw of PGME. To some compositions, fluorinated polymer (Polymers FP-1 to FP-5) as additive, tetramethoxymethylglycoluril (TMGU) as crosslinker, and PF-636 (Omnova Solutions Inc.) as surfactant were added.


















TABLE 2











Acid

Fluorinated




Resist
Quencher
Polymer 1
Polymer 2
generator
Crosslinker
polymer
Surfactant



composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)

























Example
1-1
R-1
Q-1
P-1

PAG-A (8)
TMGU

PF-636





(6.0)
(80)

PAG-B (2)
(8.154)

(0.075)



1-2
R-2
Q-1
P-1

PAG-A (8)
TMGU
FP-1
PF-636





(6.0)
(80)

PAG-B (2)
(8.154)
(3)
(0.075)



1-3
R-3
Q-1
P-2

PAG-A (8)
TMGU

PF-636





(6.0)
(80)

PAG-B (2)
(8.154)

(0.075)



1-4
R-4
Q-1
P-3

PAG-B







(6.0)
(80)

(5)



1-5
R-5
Q-1
P-3

PAG-B

FP-1





(6.0)
(80)

(5)

(3)



1-6
R-6
Q-1
P-3

PAG-B

FP-2





(6.0)
(80)

(5)

(5)



1-7
R-7
Q-1
P-3

PAG-B

FP-3





(6.0)
(80)

(5)

(3)



1-8
R-8
Q-1
P-3

PAG-B

FP-4





(6.0)
(80)

(5)

(3)



1-9
R-9
Q-1
P-3

PAG-B

FP-5





(6.0)
(80)

(5)

(1.5)



1-10
R-10
Q-1
P-4

PAG-B

FP-1





(6.0)
(80)

(5)

(3)



1-11
R-11
Q-1
P-5

PAG-B

FP-1





(6.0)
(80)

(5)

(3)



1-12
R-12
Q-1
P-6

PAG-B

FP-1





(6.0)
(80)

(5)

(3)



1-13
R-13
Q-1
P-7

PAG-B

FP-1






(6.0)
(80)

(5)

(3)



1-14
R-14
Q-1
P-8

PAG-B

FP-1






(6.0)
(80)

(5)

(3)



1-15
R-15
Q-1
P-9



FP-1






(12.0)
(80)



(3)



1-16
R-16
Q-1
P-9

PAG-B

FP-1






(8.0)
(80)

(5)

(3)



1-17
R-17
Q-1
P-18

PAG-B

FP-1






(6.0)
(80)

(5)

(3)



1-18
R-18
Q-1
P-19

PAG-B

FP-1






(6.0)
(80)

(5)

(3)



1-19
R-19
Q-1
P-21

PAG-B

FP-1






(6.0)
(80)

(5)

(3)



1-20
R-20
Q-1
P-23

PAG-B

FP-1






(6.0)
(80)

(5)

(3)



1-21
R-21
Q-1
P-24

PAG-B

FP-1






(6.0)
(80)

(5)

(3)



1-22
R-22
Q-1
P-25

PAG-B

FP-1






(6.0)
(80)

(5)

(3)



1-23
R-23
Q-1
P-26

PAG-B

FP-1






(6.0)
(80)

(5)

(3)



1-24
R-24
Q-1
P-30

PAG-B

FP-1






(6.0)
(80)

(5)

(3)

























TABLE 3











Acid

Fluorinated




Resist
Quencher
Polymer 1
Polymer 2
generator
Crosslinker
polymer
Surfactant



composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)

























Example
1-25
R-25
Q-1
P-9
P-3
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-26
R-26
Q-1
P-9
P-3
PAG-C (1.5)
TMGU
FP-1






(6.0)
(40)
(40)
PAG-D (3)
(2.0)
(3)



1-27
R-27
Q-1
P-9
P-3
PAG-B (5)

FP-1






(6.0)
(40)
(40)
PAG-F (2)

(3)



1-28
R-28
Q-1
P-9
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-29
R-29
Q-1
P-9
P-7
PAG-E (9)

FP-1






(6.0)
(40)
(40)
PAG-F (2)

(3)



1-30
R-30
Q-1
P-10
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-31
R-31
Q-1
P-11
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-32
R-32
Q-1
P-12
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-33
R-33
Q-1
P-13
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-34
R-34
Q-1
P-14
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-35
R-35
Q-1
P-15
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-36
R-36
Q-1
P-16
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-37
R-37
Q-1
P-17
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-38
R-38
Q-1
P-20
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-39
R-39
Q-1
P-22
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-40
R-40
Q-1
P-27
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-41
R-41
Q-1
P-28
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-42
R-42
Q-1
P-29
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-43
R-43
Q-1
P-9
P-3 (20)
PAG-C (1.5)

FP-1






(6.0)
(40)
P-7 (20)
PAG-D (3)

(3)



1-44
R-44
Q-2
P-9
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-45
R-45
Q-3
P-9
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-46
R-46
Q-4
P-9
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-47
R-47
Q-5
P-9
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-48
R-48
Q-6
P-9
P-7
PAG-C (1.5)

FP-1






(6.0)
(40)
(40)
PAG-D (3)

(3)



1-49
R-49
Q-7
P-9
P-7
PAG-C (1.5)

FP-1





(6.0)
(40)
(40)
PAG-D (3)

(3)



1-50
R-50
Q-8
P-9
P-7
PAG-C (1.5)

FP-1





(6.0)
(40)
(40)
PAG-D (3)

(3)



1-51
R-51
Q-1
P-9
P-7
PAG-E (9)

FP-1





(2.0)
(40)
(40)
PAG-F (2)

(3)



1-52
R-52
Q-1
P-9
P-7
PAG-E (9)

FP-1





(1.6)
(40)
(40)
PAG-F (2)

(3)

























TABLE 4











Acid

Fluorinated




Resist
Quencher
Polymer 1
Polymer 2
generator
Crosslinker
polymer
Surfactant



composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)

























Comparative
1-1
CR-1
CQ-1
P-1

PAG-A (8)
TMGU

PF-636


Example


(6.0)
(80)

PAG-B (2)
(8.154)

(0.075)



1-2
CR-2
CQ-1
P-9
P-3
PAG-B (5)

FP-1






(8.0)
(40)
(40)
PAG-F (2)

(3)



1-3
CR-3
cQ-2
P-9
P-3
PAG-B (5)

FP-1






(14.0)
(40)
(40)
PAG-F (2)

(3)



1-4
CR-4
cQ-3
P-9
P-3
PAG-B (5)

FP-1






(12.0)
(40)
(40)
PAG-F (2)

(3)









[2] EB lithography Test


Examples 2-1 to 2-52 and Comparative Examples 2-1 to 2-4


Using a coater/developer system ACT-M (Tokyo Electron Ltd.), each of the negative resist compositions (R-1 to R-52 and CR-1 to CR-4) was spin coated onto a mask blank of 152 mm squares having the outermost surface of a silicon oxide film, which had been vapor primed with hexamethyldisilazane (HMDS), and prebaked on a hotplate at 110° C. for 600 seconds to form a resist film of 80 nm thick. The thickness of the resist film was measured by an optical film thickness measurement system Nanospec (Nanometrics Inc.). Measurement was made at 81 points in the plane of the blank substrate excluding a peripheral band extending 10 mm inward from the mask blank periphery, and an average film thickness and a film thickness range were computed therefrom.


The resist film was exposed to EB using an EB writer system EBM-5000Plus (NuFlare Technology Inc., accelerating voltage 50 kV), then baked (PEB) at 120° C. for 600 seconds, and developed in a 2.38 wt % TMAH aqueous solution, thereby yielding a negative pattern.


The resist pattern was evaluated as follows. The patterned mask blank was observed under a top-down scanning electron microscope (TDSEM). The optimum dose (Eop) was defined as the exposure dose (μC/cm2) which provided a 1:1 resolution at the top and bottom of a 200-nm 1:1 line-and-space (LS) pattern. The resolution (or maximum resolution) was defined as the minimum line width of a LS pattern that could be resolved at the optimum dose. The 200-nm LS pattern printed by exposure at the optimum dose (Eop) was observed under SEM. For each of the edges of 32 lines of the LS pattern, edge detection was carried out at 80 points, from which a 3-fold value (36) of the standard deviation (6) or variation was determined and reported as LER (nm). A change of CD per μC relative to the exposure dose providing 1:1 resolution was determined from the dose curve. The results are shown in Tables 5 to 7.















TABLE 5









Maximum
CD




Resist
Eop
resolution
change
LER



composition
(μC/cm2)
(nm)
(nm)
(nm)






















Example
2-1 
R-1
115
50
0.6
5.3



2-2 
R-2
120
50
0.6
5.2



2-3 
R-3
110
50
0.6
5.3



2-4 
R-4
110
50
0.6
4.7



2-5 
R-5
120
50
0.6
4.8



2-6 
R-6
120
40
0.6
4.7



2-7 
R-7
120
40
0.6
4.5



2-8 
R-8
120
40
0.6
4.6



2-9 
R-9
120
40
0.6
4.8



2-10
R-10
130
40
0.6
4.7



2-11
R-11
130
40
0.6
4.6



2-12
R-12
120
40
0.6
4.6



2-13
R-13
110
40
0.6
4.7



2-14
R-14
100
40
0.6
4.8



2-15
R-15
310
40
0.6
4.6



2-16
R-16
100
40
0.6
4.7



2-17
R-17
110
45
0.6
4.8



2-18
R-18
120
40
0.6
4.9



2-19
R-19
110
45
0.6
4.9



2-20
R-20
110
45
0.6
4.7



2-21
R-21
110
45
0.6
4.7



2-22
R-22
110
45
0.6
4.8



2-23
R-23
120
40
0.6
4.8



2-24
R-24
130
40
0.6
4.5



2-25
R-25
120
37
0.6
4.7



2-26
R-26
120
37
0.6
4.6



2-27
R-27
120
37
0.6
4.6



2-28
R-28
120
37
0.6
4.5



2-29
R-29
120
37
0.6
4.6



2-30
R-30
90
37
0.6
4.7






















TABLE 6









Maximum
CD




Resist
Eop
resolution
change
LER



composition
(μC/cm2)
(nm)
(nm)
(nm)






















Example
2-31
R-31
120
37
0.6
4.7



2-32
R-32
120
37
0.6
4.6



2-33
R-33
110
37
0.6
4.6



2-34
R-34
110
37
0.6
4.7



2-35
R-35
120
37
0.6
4.8



2-36
R-36
120
37
0.6
4.6



2-37
R-37
120
37
0.6
4.5



2-38
R-38
120
37
0.6
4.6



2-39
R-39
120
37
0.6
4.8



2-40
R-40
80
37
0.6
4.7



2-41
R-41
80
40
0.6
4.6



2-42
R-42
80
40
0.6
4.6



2-43
R-43
120
37
0.6
4.7



2-44
R-44
120
37
0.6
4.8



2-45
R-45
110
37
0.6
4.6



2-46
R-46
110
37
0.6
4.7



2-47
R-47
115
37
0.6
4.8



2-48
R-48
120
37
0.6
4.9



2-49
R-49
120
37
0.6
4.9



2-50
R-50
120
37
0.6
4.7



2-51
R-51
59
37
0.6
4.8



2-52
R-52
41
45
0.8
5.2






















TABLE 7









Maximum
CD




Resist
Eop
resolution
change
LER



composition
(μC/cm2)
(nm)
(nm)
(nm)






















Comparative
2-1
CR-1
120
60
1.1
5.9


Example
2-2
CR-2
110
50
1.1
5.4



2-3
CR-3
100
50
1.1
5.3



2-4
CR-4
100
50
1.1
5.4









As compared with comparative resist compositions (CR-1 to CR-4), the chemically amplified negative resist compositions (R-1 to R-52) within the scope of the invention show satisfactory resolution and acceptable values of LER and dose margin because the sulfonium salt having formula (A1) exerts an acid diffusion-suppressing effect. A comparison of R-51 with R-52 reveals that better results are obtained when the optimum dose is 50 μC or more.


Because of a high quenching ability, the sulfonium salt having formula (A1) is effective for adjusting the resist sensitivity even when used in a small amount, highly compatible with the solvent, and uniformly dispersed in the resist film. These contribute to acceptable values of LER.


Using the negative resist composition within the scope of the invention, a resist pattern having a very high resolution, reduced LER and improved dose margin can be formed. The resist pattern forming process using the negative resist composition is useful in photolithography for the fabrication of semiconductor devices and the processing of photomask blanks of transmission or reflection type.


Japanese Patent Application No. 2022-089795 is incorporated herein by reference. Although some preferred embodiments have been described, many modifications and variations may be made thereto in light of the above teachings. It is therefore to be understood that the invention may be practiced otherwise than as specifically described without departing from the scope of the appended claims.

Claims
  • 1. A chemically amplified negative resist composition comprising (A) a quencher containing a sulfonium salt having the formula (A1) and (B) a base polymer containing a polymer comprising repeat units having the formula (B1),
  • 2. The negative resist composition of claim 1 wherein the polymer further comprises repeat units having the formula (B2):
  • 3. The negative resist composition of claim 1 wherein the polymer further comprises repeat units of at least one type selected from repeat units having the formula (B3), repeat units having the formula (B4), and repeat units having the formula (B5):
  • 4. The negative resist composition of claim 2 wherein the polymer further comprises repeat units of at least one type selected from repeat units having the formulae (B6) to (B13):
  • 5. The negative resist composition of claim 4 wherein the polymer further comprises repeat units having the formula (B1-1), repeat units having the formula (B2-1) or repeat units having the formula (B2-2), and repeat units having the formula (B7):
  • 6. The negative resist composition of claim 4 wherein the base polymer (B) further contains a polymer comprising repeat units having formula (B1) and repeat units having formula (B2), but not repeat units having formulae (B6) to (B13).
  • 7. The negative resist composition of claim 1 wherein repeat units having an aromatic ring structure account for at least 60 mol % of the overall repeat units of the polymer in the base polymer.
  • 8. The negative resist composition of claim 1, further comprising (C) a crosslinker.
  • 9. The negative resist composition of claim 2 which is free of a crosslinker.
  • 10. The negative resist composition of claim 1, further comprising (D) a fluorinated polymer comprising repeat units of at least one type selected from repeat units having the formula (D1), repeat units having the formula (D2), repeat units having the formula (D3) and repeat units having the formula (D4) and optionally repeat units of at least one type selected from repeat units having the formula (D5) and repeat units having the formula (D6):
  • 11. The negative resist composition of claim 1, further comprising (E) an acid generator.
  • 12. The negative resist composition of claim 11 wherein the photoacid generator has an anion having an acid strength (pKa) of −3.0 or larger.
  • 13. The negative resist composition of claim 11 wherein the acid generator (E) and the quencher (A) are present in a weight ratio of less than 6/1.
  • 14. The negative resist composition of claim 1, further comprising (F) an organic solvent.
  • 15. A resist pattern forming process comprising the steps of: applying the chemically amplified negative resist composition of claim 1 onto a substrate to form a resist film thereon,exposing the resist film patternwise to high-energy radiation, anddeveloping the exposed resist film in an alkaline developer.
  • 16. The process of claim 15 wherein the high-energy radiation is EUV or EB.
  • 17. The process of claim 15 wherein the substrate has the outermost surface of a material containing at least one element selected from chromium, silicon, tantalum, molybdenum, cobalt, nickel, tungsten, and tin.
  • 18. The process of claim 15 wherein the substrate is a mask blank of transmission or reflection type.
  • 19. A mask blank of transmission or reflection type which is coated with the chemically amplified negative resist composition of claim 1.
Priority Claims (1)
Number Date Country Kind
2022-089795 Jun 2022 JP national