Circuits for edge ring control in shaped DC pulsed plasma process device

Information

  • Patent Grant
  • 11289310
  • Patent Number
    11,289,310
  • Date Filed
    Wednesday, November 21, 2018
    5 years ago
  • Date Issued
    Tuesday, March 29, 2022
    2 years ago
Abstract
The present disclosure relates to an apparatus and method that manipulates the voltage at an edge ring relative to a substrate located on a substrate support located within a processing chamber. The apparatus includes a substrate support assembly that has a body having a substrate electrode embedded therein for applying a voltage to a substrate. The body of the substrate support assembly additionally has an edge ring electrode embedded therein for applying a voltage to an edge ring. The apparatus further includes an edge ring voltage control circuit coupled to the edge ring electrode. A substrate voltage control circuit is coupled to the substrate electrode. The edge ring voltage control circuit and the substrate voltage control circuit are independently tunable to generate a difference in voltage between the edge ring voltage and the substrate voltage.
Description
BACKGROUND
Field

Examples of the present disclosure generally relate to a substrate support for a plasma processing chamber, and more particularly, to an apparatus and methods for varying voltages applied to an edge ring portion of the substrate support relative to a substrate support portion of the substrate support to control the plasma sheath in the plasma processing chamber.


Description of the Related Art

As semiconductor technology nodes advanced with reduced size device geometries, substrate edge critical dimension uniformity requirements become more stringent and affect die yields. Commercial plasma reactors include multiple tunable knobs for controlling process uniformity across a substrate, such as, for example, temperature, gas flow, RF power, and the like.


During processing, a substrate disposed on a substrate support may undergo a process that deposits material on the substrate and to remove, or etch, portions of the material from the substrate, often in succession or in alternating processes. It is typically beneficial to have uniform deposition and etching rates across the surface of the substrate. However, process non-uniformities often exist across the surface of the substrate and may be significant at the perimeter or edge of the substrate. The etch profile at an extreme edge of the substrate may deviate from that at the center of the substrate due to different ion density, RF uniformity, or previous processing. These non-uniformities at the perimeter may be attributable to electric field termination affects and are sometimes referred to as edge effects. These edge effects reduce usable die yield near the edge of the substrate.


One technique in the art for obtaining better uniformity is to tune the voltage applied to an edge ring disposed on the substrate support to change the ion density at the substrate edge. This provides a control knob to control the extreme edge process profile and feature tilting. This may be accomplished by applying a first RF voltage to an edge ring electrode embedded in the edge ring and a second RF voltage to a substrate support electrode embedded in the substrate support. However, employing multiple RF source voltages is expensive. Other methods and apparatus for controlling a plasma sheath exist, such as edge rings which are movable relative to the substrate support. However, certain electronic device manufacturing processes are subject to stringent particle requirements which make moving parts undesirable. Movable edge rings are also subjectable to arcing.


Therefore, there is a need for apparatus and methods that improve process uniformity on a substrate.


SUMMARY

The present disclosure provides apparatus and methods for manipulating the voltage at the edge ring relative to a substrate located on a substrate support, which functions as an effective tuning knob to control the process profile near a substrate edge. Manipulating the edge ring's voltage can improve the process uniformity on the substrate. Also, controlling the edge ring's voltage can assist in controlling the verticality (i.e., tilting) of features formed near the substrate edge.


In one example, the apparatus includes a substrate support assembly that has a body having a substrate support portion having a substrate electrode embedded therein for applying a substrate voltage to a substrate. The body of the substrate support assembly further has an edge ring portion disposed adjacent to the substrate support portion. The edge ring portion has an edge ring electrode embedded therein for applying an edge ring voltage to an edge ring. The apparatus further includes an edge ring voltage control circuit coupled to the edge ring electrode. A substrate voltage control circuit is coupled to the substrate electrode. The edge ring voltage control circuit and the substrate voltage control circuit are independently tunable to generate a difference in voltage between the edge ring voltage and the substrate voltage.


In another example, the apparatus includes a process chamber that includes a chamber body, a lid disposed on the chamber body, an inductively coupled plasma apparatus positioned above the lid, and a substrate support assembly positioned within the chamber body. The substrate support assembly has a body having a substrate support portion having a substrate electrode embedded therein for applying a substrate voltage to a substrate. The body of the substrate support assembly further has an edge ring portion disposed adjacent to the substrate support portion. The edge ring portion has an edge ring electrode embedded therein for applying an edge ring voltage to an edge ring. The apparatus further includes an edge ring voltage control circuit coupled to the edge ring electrode. A substrate voltage control circuit is coupled to the substrate electrode. The edge ring voltage control circuit and the substrate voltage control circuit are independently tunable to generate a difference in voltage between the edge ring voltage and the substrate voltage.


In another example, a method of operating a process chamber is disclosed. The process chamber comprises a chamber body and a substrate support assembly positioned within the chamber body, the substrate support assembly having a body, the body having a substrate support portion having a substrate electrode embedded therein and an edge ring portion disposed adjacent to the substrate support portion, the edge ring portion having an edge ring electrode embedded therein. The method comprises applying a substrate voltage to the substrate electrode by a substrate voltage control circuit. The method further comprises applying an edge ring voltage to the edge ring electrode by an edge ring voltage control circuit. The method further comprises independently tuning the edge ring voltage control circuit and the substrate voltage control circuit to change a ratio between the edge ring voltage and the substrate voltage.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, and may admit to other equally effective embodiments.



FIG. 1 is a schematic sectional view of a process chamber according to one embodiment of the disclosure.



FIGS. 2A-2C are schematic views of a plasma sheath relative to the edge of a substrate, according to examples of the disclosure.



FIGS. 3A and 3B illustrate enlarged schematic views of the substrate support shown in FIG. 1.



FIG. 4 is schematic circuit diagram illustrating one embodiment of the edge ring voltage control circuit/substrate voltage control circuit for driving the electrodes of the substrate support assembly.



FIG. 5 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit for driving the electrodes of the substrate support assembly.



FIG. 6 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit for driving the electrodes of the substrate support assembly.



FIG. 7 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit for driving the electrodes of the substrate support assembly.



FIG. 8 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit for driving the electrodes of the substrate support assembly.



FIG. 9 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit for driving the electrodes of the substrate support assembly.



FIG. 10 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit for driving the electrodes of the substrate support assembly.



FIG. 11 is a flow diagram illustrating an operation process for the support circuits described above according to one aspect of the disclosure.



FIGS. 12A and 12B depict example simulation results of modulated an edge ring or wafer voltage waveform created by varying the variable capacitors and/or inductors of FIGS. 4-10 given a shaped DC pulse voltage source.





To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.


DETAILED DESCRIPTION

The present disclosure generally relates to apparatus and methods that apply a voltage difference between a substrate support portion and an edge ring support portion of a substrate support assembly. The substrate support assembly has a body having the substrate support portion having a substrate electrode embedded therein for applying a substrate voltage to a center portion of a substrate. The body of the substrate support assembly further has the edge ring portion disposed adjacent to the substrate support portion. The edge ring portion has an edge ring electrode embedded therein for applying an edge ring voltage to the edge portion of the substrate.


The apparatus and methods further include an edge ring voltage control circuit coupled to the edge ring electrode. A substrate voltage control circuit coupled to the substrate electrode. At least one shaped DC pulse voltage source is coupled to one or both of the edge ring voltage control circuit and the substrate voltage control circuit. The edge ring voltage control circuit and the substrate voltage control circuit are independently tunable to generate a difference in voltage between the edge ring voltage and the substrate voltage.


As the plasma sheath becomes non-uniform adjacent the edge ring due to different ion density, RF uniformity, or previous processing, one or both of the edge ring voltage control circuit and the substrate voltage control circuit is adjusted in order to affect the voltage amplitude difference between the substrate and the edge ring. Adjustment of the voltage amplitude difference via tuning one or both of the edge ring voltage control circuit and the substrate voltage control circuit results in an adjustment of the plasma sheath near the substrate perimeter. Bending of the sheath at the perimeter of the substrate will either focus ions (increase etch rate) or de-focus ions (decrease etch rate) in the region of approximately 0 mm-10 mm (depending on the process condition) from the edge of the substrate.


The present disclosure also addresses the need to compensate for extreme edge non-uniformities left by previous process steps. In all of these applications, when the process is very sensitive to particles, for example in logic circuit applications, it is considered a high risk to have moving parts in the vicinity of the substrate. The present disclosure addresses the need for extreme edge voltage tunability with no moving parts.



FIG. 1 is a schematic sectional view of a process chamber 100, according to one example of the disclosure. The process chamber 100 includes a chamber body 101 and a lid 102 disposed thereon that together define an internal volume 124. The chamber body 101 is typically coupled to an electrical ground 103. A substrate support assembly 104 is disposed within the inner volume to support a substrate 105 thereon during processing. An edge ring 106 is positioned on the substrate support assembly 104 and surrounds the periphery of the substrate 105. The process chamber 100 also includes an inductively coupled plasma apparatus 107 for generating a plasma of reactive species within the process chamber 100, and a controller 108 adapted to control systems and subsystems of the process chamber 100.


The substrate support assembly 104 is disposed in the internal volume 124. The substrate support assembly 104 generally includes at least a substrate support 152. The substrate support 152 includes an electrostatic chuck 150 comprising a substrate support portion 154 configured to underlay and support the substrate 105 to be processed and an edge ring portion 156 configured to support an edge ring 106. The substrate support assembly 104 may additionally include a heater assembly 169. The substrate support assembly 104 may also include a cooling base 131. The cooling base 131 may alternately be separate from the substrate support assembly 104. The substrate support assembly 104 may be removably coupled to a support pedestal 125. The support pedestal 125 is mounted to the chamber body 101. The support pedestal 125 may optionally include a facility plate 180. The substrate support assembly 104 may be periodically removed from the support pedestal 125 to allow for refurbishment of one or more components of the substrate support assembly 104. Lifting pins 146 are disposed through the substrate support assembly 104 as conventionally known to facilitate substrate transfer.


The facility plate 180 is configured to accommodate a plurality of fluid connections from the electrostatic chuck 150 and the cooling base 131. The facility plate 180 is also configured to accommodate the plurality of electrical connections from the electrostatic chuck 150 and the heater assembly 169. The myriad of connections may run externally or internally of the substrate support assembly 104, while the facility plate 180 provides an interface for the connections to a respective terminus.


A substrate electrode 109 is embedded within the substrate support portion 154 of the electrostatic chuck 150 for applying a substrate voltage to a substrate 105 disposed on an upper surface 160 of the substrate support assembly 104. The edge ring portion 156 has an edge ring electrode 111 embedded therein for applying an edge ring voltage to the edge ring 106. An edge ring voltage control circuit 155 is coupled to the edge ring electrode 111. A substrate voltage control circuit 158 is coupled to the substrate electrode 109. In one embodiment, a first shaped DC pulse voltage source 159 is coupled to one or both of the edge ring voltage control circuit 155 and the substrate voltage control circuit 158. In another embodiment, the first shaped DC pulse voltage source 159 is coupled to the edge ring voltage control circuit 155 and a second shaped DC pulse voltage source 161 is coupled to the substrate voltage control circuit 158. The edge ring voltage control circuit 155 and the substrate voltage control circuit 158 are independently tunable to generate a difference in voltage between the edge ring voltage and the substrate voltage. The substrate voltage control circuit 158 and the edge ring voltage control circuit 155 each include variable and/or fixed capacitors and/or inductors to provide the independent tunability of the edge ring voltage and the substrate voltage. The substrate electrode 109 is further coupled to a chucking power source 115 to facilitate chucking of the substrate 105 to the upper surface 160 with the electrostatic chuck 150 during processing.


The inductively coupled plasma apparatus 107 is disposed above the lid 102 and is configured to inductively couple RF power to gasses within the process chamber 100 to generate a plasma 116. The inductively coupled plasma apparatus 107 includes first and second coils 118, 120, disposed above the lid 102. The relative position, ratio of diameters of each coil 118, 120, and/or the number of turns in each coil 118, 120 can each be adjusted as desired to control the profile or density of the plasma 116 being formed. Each of the first and second coils 118, 120 is coupled to an RF power supply 121 through a matching network 122 via an RF feed structure 123. The RF power supply 121 may illustratively be capable of producing up to about 4000 W (but not limited to about 4000 W) at a tunable frequency in a range from 50 kHz to 13.56 MHz, although other frequencies and powers may be utilized as desired for particular applications.


In some examples, a power divider 126, such as a dividing capacitor, may be provided between the RF feed structure 123 and the RF power supply 121 to control the relative quantity of RF power provided to the respective first and second coils 118, 120.


In other embodiments, a capacitively coupled plasma apparatus (not shown) can be used above the lid 102.


A heater element 128 may be disposed on the lid 102 to facilitate heating the interior of the process chamber 100. The heater element 128 may be disposed between the lid 102 and the first and second coils 118, 120. In some examples, the heater element 128 may include a resistive heating element and may be coupled to a power supply 130, such as an AC power supply, configured to provide sufficient energy to control the temperature of the heater element 128 within a desired range.


During operation, the substrate 105, such as a semiconductor substrate or other substrate suitable for plasma processing, is placed on the substrate support assembly 104. Substrate lift pins 146 are movably disposed in the substrate support assembly 104 to assist in transfer of the substrate 105 onto the substrate support assembly 104. After positioning of the substrate 105, process gases are supplied from a gas panel 132 through entry ports 134 into the internal volume 124 of the chamber body 101. The process gases are ignited into a plasma 116 in the process chamber 100 by applying power from the RF power supply 121 to the first and second coils 118, 120. The pressure within the internal volume 124 of the process chamber 100 may be controlled using a valve 136 and a vacuum pump 138.


The process chamber 100 includes the controller 108 to control the operation of the process chamber 100 during processing. The controller 108 comprises a central processing unit (CPU) 140, a memory 142, and support circuits 144 for the CPU 140 and facilitates control of the components of the process chamber 100. The controller 108 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory 142 stores software (source or object code) that may be executed or invoked to control the operation of the process chamber 100 in the manner described herein. The controller 108 is configured to control the first shaped DC pulse voltage source 159, the second shaped DC pulse voltage source 161, the edge ring voltage control circuit 155, and the substrate voltage control circuit 158.



FIGS. 2A-2C are schematic views of a plasma sheath 176 underlying a plasma 116 generated within the process chamber 100 relative to the edge of a substrate 105, according to examples of the disclosure. FIG. 2A illustrates a baseline plasma sheath 176 relative to an edge ring 106 and a substrate 105 overlying the electrostatic chuck 150. When the same voltage is applied to the substrate electrode 109 and edge ring electrode 111, the upper surface of the edge ring 106 and the substrate 105 are generally coplanar and the boundary of the plasma sheath 176 is generally linear and parallel to the substrate 105 as the sheath 176 crosses on an edge portion 166 of the substrate 105. The plasma sheath 176 is substantially parallel with and equally spaced from the upper surfaces of the edge ring 106 and the substrate 105. The profile of the plasma sheath 176 illustrated in FIG. 2A results in uniform center to edge ion density and ion energy towards to the substrate 105, given uniform plasma generated above the substrate 105 and the edge ring 106. In an example, there is no difference in voltage between the substrate 105 and the edge ring 106. This may be referred to as a baseline voltage.


After processing a predetermined number of substrates, the height of the edge ring 106 may be lower, not coplanar with the substrate top any more, resulting in undesired edge effects forming on the edge portion 166 of the substrate 105. In other applications, the nonuniformity of the plasma density, gas concentration, etc. can cause nonuniformity of the processing profile near the edge portion 166 of the substrate 105. In still other applications, previous processes may result in a non-uniform center-to-edge feature profile and it is desired to compensate such uniformity with an edge tuning knob. To combat edge effects, a positive voltage difference or a negative voltage difference is formed between the edge ring 106 and the substrate 105.


As illustrated in FIG. 2B, where a higher voltage is applied to the edge ring electrode 111 compared to that of the substrate electrode 109, the boundary plasma sheath 176 is no longer flat at the interface of the substrate 105 and the edge ring 106 and has different spacings from the surface of the edge ring 106 versus the substrate 105. The profile of the plasma sheath 176 is wider at the edge portion 166 of the substrate 105 relative to the center 168 of the substrate 105. This is indicative of a defocused ion concentration at the edge portion 166 of the substrate 105 relative to the center of the substrate 105. Accordingly, this reduces etch rate at the edge portion 166 of the substrate 105 relative to the center 168 of the substrate 105, and also changes the angle of incidence of ions striking the edge portion 166 of the substrate 105.



FIG. 2C shows how the sheath boundary/height may be controllably changed at the edge of the substrate 105 when a lower voltage is applied to the edge ring electrode 111 compared to the substrate electrode 109. The profile of the plasma sheath 176 is narrower at the edge portion 166 of the substrate 105 relative to the center 168 of the substrate 105. Accordingly, ions are focused to the edge portion 166 of the substrate 105. Accordingly, this increases the etch rate at the edge portion 166 of the substrate 105 and also changes the angle of incidence of ions striking the edge portion 166 of the substrate 105.



FIGS. 3A and 3B illustrate enlarged schematic views of the substrate support 152 shown in FIG. 1. The substrate support 152 has a body 174. The body 174 includes a substrate support portion 154 and an edge ring portion 156. The substrate support portion 154 includes a first isolation layer 182, an optional second isolation layer 184, and a first cathode 188. The first isolation layer 182 of the substrate support portion 154 may be made of a ceramic. The first isolation layer 182 of the substrate support portion 154 may have the substrate electrode 109 embedded therein for applying a substrate voltage a substrate 105. The optional second isolation layer 184 underlays the first isolation layer 182 and be made of a ceramic to improve the thermal and electrical isolation of the substrate electrode 109 from other conductive components in the substrate support 152. The first cathode 188 may underlay one or both of the first isolation layer 182 and the second isolation layer 184 of the substrate support portion 154.


The edge ring portion 156 is disposed adjacent to the substrate support portion 154. The edge ring portion 156 may support the edge ring 106. The edge ring portion 156 may include a first isolation layer 183, an optional second isolation layer 185, and a second cathode 191. The first isolation layer has an edge ring electrode 111 embedded therein for applying an edge ring voltage to the edge ring 106. The first isolation layer 183 may be made of a ceramic. The optional second isolation layer 185 underlays the first isolation layer 183 made of a ceramic to improve the thermal and electrical isolation of the edge ring electrode 111 from other conductive components in the substrate support 152. The second cathode 191 may underlay one or both of the first isolation layer 183 and the second isolation layer 185 of the edge ring portion 156. A low-k dielectric cylindrical layer 195 may laterally separate the edge ring portion 156 from the substrate support portion 154 completely or partially. In the embodiments of partial separation of the edge ring portion 156 from the substrate support portion 154, the first cathode 188 and the second cathode 191 may be one piece, and/or the second isolation layer 184 under the substrate 105 and that under the edge ring 106 may be one piece.


A first contact of an edge ring voltage control circuit 155 is electrically coupled to the edge ring electrode 111. A first contact of a substrate voltage control circuit 158 is electrically coupled to the substrate electrode 109. The edge ring voltage control circuit 155 may be either incorporated into the substrate support assembly 104, be external to the substrate support assembly 104 but internal to the process chamber 100 or may be entirely external to the process chamber 100.


In one embodiment, a second contact of both the edge ring voltage control circuit 155 and the substrate voltage control circuit 158 may be coupled together to a first shaped DC pulse voltage source 159. In another embodiment, the second contact of the edge ring voltage control circuit 155 is not tied to the second contact of the substrate voltage control circuit 158, but the second contact of the edge ring voltage control circuit 155 is individually coupled to the first shaped DC pulse voltage source 159 while the second contact of the substrate voltage control circuit 158 is coupled to the second shaped DC pulse voltage source 161. In either configuration of one or two shaped DC pulse voltage sources, the edge ring voltage control circuit 155 and the substrate voltage control circuit 158 are independently tunable to generate a difference in voltage between the edge ring voltage and the substrate voltage.


In one embodiment, the edge ring voltage control circuit 155 and the substrate voltage control circuit 158 are identical circuits. In another embodiment, the edge ring voltage control circuit 155 and the substrate voltage control circuit 158 differ from each other. In an embodiment, at least one of the edge ring voltage control circuit 155 and the substrate voltage control circuit 158 comprises at least one variable passive component to provide tunability of the voltage applied to either the edge ring electrode 111 and/or the substrate electrode 109.



FIG. 4 is schematic circuit diagram illustrating one embodiment of the edge ring voltage control circuit/substrate voltage control circuit 400 for driving the electrodes 109, 111 of the substrate support assembly 104. The first or second shaped DC pulse voltage source 159, 161 is coupled between ground and a forward biasing diode 194. The forward-biased diode 194 is connected to a current returning path 163 comprising a resistor 196 coupled in series with an inductor 197 to ground. A capacitance 199 may exist between the stray capacitance 198 and the plasma sheath 176. A variable capacitor 202 is coupled to the forward biasing diode 194 and to either the edge ring electrode 111 or the substrate electrode 109. The variable capacitor 202 is also coupled to stray capacitance 198. The plasma sheath 176 may be modeled (plasma model 176) as a circuit comprising a capacitor 204 coupled in parallel with a diode 206 and a current source 208 coupled to ground and the variable capacitor 202.


Voltage can be measured at the edge ring electrode 111 and the substrate electrode 109. Using the measured voltage, the controller 108 determines a voltage ratio of edge ring electrode 111 to the substrate electrode 109. Based on the measured results, the variable capacitor 202 in either or both of the edge ring voltage control circuit 155 or the substrate voltage control circuit 158 can be adjusted to manipulate voltage applied to the edge ring electrode 111, which affects the voltage developed at the edge ring 106 and/or the substrate electrode 109 at the substrate 105. Consequently, the height of the plasma sheath 176 above the edge ring 106 and the substrate 105 can be shaped. The edge ring 106 or substrate voltage waveform amplitude can vary between almost zero to full shaped DC pulse input voltage by varying the variable capacitor 202.



FIG. 5 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit 500 that can be used to drive the electrodes 109, 111 of the substrate support assembly 104. The first or second shaped DC pulse voltage source 159, 161 is coupled between ground and the forward biasing diode 194, which is coupled to the to a current returning path 163 comprising of a resistor 196 coupled in series with an inductor 197 to ground. In an embodiment, a variable inductor 210 is coupled to the forward biasing diode 194 and to either the edge ring electrode 111 or the substrate electrode 109. The variable inductor 210 is also coupled to stray capacitance 198.


As stated above, voltage can be measured at the edge ring electrode 111 and the substrate electrode 109. Using the measured voltage, the controller 108 determines a voltage ratio of edge ring electrode 111 to the substrate electrode 109. Based on the measured results, the variable inductor 210 in either or both of the edge ring voltage control circuit 155 or the substrate voltage control circuit 158 can be adjusted to manipulate voltage applied to the edge ring electrode 111, which affects the voltage developed at the edge ring 106 and/or the substrate electrode 109 at the substrate 105. Consequently, the height of the plasma sheath 176 above the edge ring 106 and the substrate 105 can be changed. The edge ring or substrate voltage waveform amplitude can vary between almost zero to full shaped DC pulse input voltage by varying the variable inductor 210.



FIG. 6 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit 600 for driving the electrodes 109, 111 of the substrate support assembly 104. The first or second shaped DC pulse voltage source 159, 161 is coupled between ground and the forward biasing diode 194, which is coupled to the to a current returning path 163 comprising a resistor 196 coupled in series with an inductor 197 to ground. One terminal of a variable inductor 212 is coupled in series with a fixed capacitor 214, which is coupled to the forward biasing diode 194. The other terminal of the variable inductor 212 is coupled to either the edge ring electrode 111 or the substrate electrode 109. The variable inductor 212 is also coupled to stray capacitance 198.


As stated above, voltage can be measured at the edge ring electrode 111 and the substrate electrode 109. Using the measured voltage, the controller 108 determines a voltage ratio of edge ring electrode 111 to the substrate electrode 109. Based on the measured results, the variable inductor 212 in either or both of the edge ring voltage control circuit 155 or the substrate voltage control circuit 158 can be adjusted to manipulate voltage applied to the edge ring electrode 111, which affects the voltage developed at the edge ring 106 and/or the substrate electrode 109 at the substrate 105. Consequently, the height of the plasma sheath 176 above the edge ring 106 and the substrate 105 can be shaped. The edge ring or substrate voltage waveform amplitude can vary between almost zero to full shaped DC pulse input voltage by varying the variable inductor 212.



FIG. 7 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit 700 for driving the electrodes 109, 111 of the substrate support assembly 104. The first or second shaped DC pulse voltage source 159, 161 is coupled between ground and the forward biasing diode 194, which is coupled to the to a current returning path 163 comprising a resistor 196 coupled in series with an inductor 197 to ground. A variable capacitor 216 is coupled in series with a variable inductor 218. The variable capacitor 216 is also coupled to the forward biasing diode 194. The variable inductor 218 is also coupled in series with to either the edge ring electrode 111 or the substrate electrode 109. The variable inductor 218 is also coupled to stray capacitance 198.


As stated above, voltage can be measured at the edge ring electrode 111 and the substrate electrode 109. Using the measured voltage, the controller 108 determines a voltage ratio of edge ring electrode 111 to the substrate electrode 109. Based on the measured results, the variable capacitor 216 and/or the variable inductor 218 in either or both of the edge ring voltage control circuit 155 or the substrate voltage control circuit 158 can be adjusted to manipulate voltage applied to the edge ring electrode 111, which affects the voltage developed at the edge ring 106 and/or the substrate electrode 109 at the substrate 105. Consequently, the height of the plasma sheath 176 above the edge ring 106 and the substrate 105 can be shaped. The edge ring or substrate voltage waveform amplitude can vary between almost zero to full shaped DC pulse input voltage by varying the variable capacitor 216 and/or the variable inductor 218.



FIG. 8 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit 800 for driving the electrodes 109, 111 of the substrate support assembly 104. The first or second shaped DC pulse voltage source 159, 161 is coupled between ground and the forward biasing diode 194, which is coupled to the to a current returning path 163 comprising of a resistor 196 coupled in series with an inductor 197 to ground. A first terminal of a variable capacitor 220 is coupled to the forward biasing diode 194. The first terminal of the variable capacitor 220 is further coupled to a fixed resistor 224, which is coupled to the current returning path 163 and to one terminal of a fixed capacitor 222 the second terminal of the fixed capacitor 222 is coupled to a second terminal of the variable capacitor 220, and to either the edge ring electrode 111 or the substrate electrode 109. The variable capacitor 220 is also coupled to stray capacitance 198.


As stated above, voltage can be measured at the edge ring electrode 111 and the substrate electrode 109. Using the measured voltage, the controller 108 determines a voltage ratio of edge ring electrode 111 to the substrate electrode 109. Based on the measured results, the variable capacitor 220 in either or both of the edge ring voltage control circuit 155 or the substrate voltage control circuit 158 can be adjusted to manipulate voltage applied to the edge ring electrode 111, which affects the voltage developed at the edge ring 106 and/or the substrate electrode 109 at the substrate 105. Consequently, the height of the plasma sheath 176 above the edge ring 106 and the substrate 105 can be shaped. The edge ring or substrate voltage waveform amplitude can vary between almost zero to full shaped DC pulse input voltage by varying the variable capacitor 220.



FIG. 9 is schematic circuit diagram illustrating another embodiment of the edge ring voltage control circuit/substrate voltage control circuit 900 for driving the electrodes 109, 111 of the substrate support assembly 104. The first or second shaped DC pulse voltage source 159, 161 is coupled between ground and the forward biasing diode 194. A first terminal of a variable capacitor 225 is coupled to the forward biasing diode 194. The first terminal of the variable capacitor 225 is further coupled to a first fixed resistor 226, which is coupled to the current returning path 163 and to one terminal of a second fixed resistor 228. The second fixed resistor 228 is coupled in series with a first terminal of a fixed capacitor 230. The second terminal of the fixed capacitor 230 is coupled to a second terminal of the variable capacitor 225, and to either the edge ring electrode 111 or the substrate electrode 109. The variable capacitor 225 is also coupled to stray capacitance 198.


As stated above, voltage can be measured at the edge ring electrode 111 and the substrate electrode 109. Using the measured voltage, the controller 108 determines a voltage ratio of edge ring electrode 111 to the substrate electrode 109. Based on the measured results, the variable capacitor 225 in either or both of the edge ring voltage control circuit 155 or the substrate voltage control circuit 158 can be adjusted to manipulate voltage applied to the edge ring electrode 111, which affects the voltage developed at the edge ring 106 and/or the substrate electrode 109 at the substrate 105. Consequently, the height of the plasma sheath 176 above the edge ring 106 and the substrate 105 can be shaped. The edge ring or substrate voltage waveform amplitude can vary between almost zero to full shaped DC pulse input voltage by varying the variable capacitor 225.



FIG. 10 is schematic circuit diagram illustrating another embodiment of the edge ring control circuit/substrate voltage control circuit 1000 for driving the electrodes 109, 111 of the substrate support assembly 104. The first or second shaped DC pulse voltage source 159, 161 is coupled between ground and the forward biasing diode 194. A first terminal of a variable capacitor 231 is coupled to the forward biasing diode 194. The first terminal of the variable capacitor 231 is further coupled to a first fixed resistor 232 in series with one terminal of a fixed inductor 234. The fixed inductor 234 is coupled to the current returning path 163. The second terminal of the fixed inductor 234 is further coupled to a first terminal of a second fixed resistor 236. The second terminal of the second fixed resistor 236 is coupled to a first terminal of a fixed capacitor 238. The second terminal of the fixed capacitor 238 is coupled to the second terminal of the variable capacitor 231 and to either the edge ring electrode 111 or the substrate electrode 109. The variable capacitor 231 is also coupled to stray capacitance 198.


As stated above, voltage can be measured at the edge ring electrode 111 and the substrate electrode 109. Using the measured voltage, the controller 108 determines a voltage ratio of edge ring electrode 111 to the substrate electrode 109. Based on the measured results, the variable capacitor 231 in either or both of the edge ring voltage control circuit 155 or the substrate voltage control circuit 158 can be adjusted to manipulate voltage applied to the edge ring electrode 111, which affects the voltage developed at the edge ring 106 and/or the substrate electrode 109 at the substrate 105. Consequently, the height of the plasma sheath 176 above the edge ring 106 and the substrate 105 can be shaped. The edge ring or substrate voltage waveform amplitude can vary between almost zero to full shaped DC pulse input voltage by varying the variable capacitor 231.



FIG. 11 is a flow diagram illustrating an operation process 1100 for the support circuits 155, 158 described above. The operation process 1100 can be implemented using the circuit configurations of FIGS. 4-10 provided in this disclosure. The operation process 1100 also provides a method of operating the process chamber 100.


At operation 1105, the controller 108 applies a substrate voltage to the substrate electrode 109 by the substrate voltage control circuit 158. At operation 1110, the controller 108 applies an edge ring voltage to the edge ring electrode 111 by the edge ring voltage control circuit 155. At operation 1115, the controller 108 measures voltage at the edge ring electrode 111 and the substrate electrode 109. Based on the measured results, the controller 108 determines an amplitude ratio between the voltages of the edge ring 106 and the substrate 105. At operation 1120, the controller 108 updates a prediction for a variable capacitor or variable inductor value in the edge ring voltage control circuit 155 and/or the substrate voltage control circuit 158 and values for the output voltage(s) of the shaped DC pulse voltage source(s) 159, 161. At operation 1125, the controller 108 tunes the edge ring voltage control circuit 155, the substrate voltage control circuit 158, and the output voltage(s) of the shaped DC pulse voltage source(s) 159, 161, in order to achieve the target edge ring voltage and the substrate voltage with specified difference in amplitude (ratio) through feedback control loop 1115, 1120, and 1125.



FIGS. 12A and 12B depict example simulation results of modulated edge ring or wafer voltage waveform created by varying the variable capacitors and/or inductors of FIGS. 4-10 given a shaped DC pulse voltage input. For a fixed input voltage amplitude, the variable capacitors and inductors can tune the amplitude of the output voltage of the tuning circuit between almost zero to full input voltage while maintaining the shape of the output voltage to keep a constant voltage difference between the substrate voltage and the edge ring voltage throughout the pulse on-time.


Benefits of the disclosure include the ability to adjust plasma sheaths at the substrate edge in lieu of replacing chamber components, thereby improving device yield while mitigating downtime and reducing expenditures on consumables. Additionally, aspects described herein allow for the plasma sheath to be adjusted at the substrate edge without affecting the plasma parameters at substrate center, thereby providing a tuning knob for extreme edge process profile control and feature tilting correction.

Claims
  • 1. A substrate support comprising: a body, the body comprising:a substrate support portion having a substrate electrode embedded therein for applying a substrate voltage to a substrate;an edge ring portion disposed adjacent to the substrate support portion, the edge ring portion having an edge ring electrode embedded therein for applying an edge ring voltage to an edge ring disposed on the edge ring portion; anda low-k dielectric cylindrical layer laterally separating the edge ring portion from the substrate support portion;an edge ring voltage control circuit coupled between the edge ring electrode disposed in the body and a first pulse DC power source; anda substrate voltage control circuit coupled between the substrate electrode and a second pulse DC power source, wherein the edge ring voltage control circuit and the substrate voltage control circuit each have at least one variable passive component and wherein the edge ring voltage control circuit is configured to transmit a pulse voltage from the first pulse DC power source through the variable passive component to the edge ring electrode, and wherein the edge ring voltage control circuit and substrate voltage control circuit are independently tunable to supply a difference in direct current voltage between the edge ring and the substrate, and wherein the at least one variable passive component is a variable capacitor or a variable inductor.
  • 2. The substrate support of claim 1, wherein the edge ring voltage control circuit and the substrate voltage control circuit are identical circuits.
  • 3. The substrate support of claim 1, wherein the edge ring voltage control circuit and the substrate voltage control circuit differ from each other.
  • 4. The substrate support of claim 1, further comprising: a first shaped DC pulse voltage source coupled in parallel to both the edge ring voltage control circuit and the substrate voltage control circuit.
  • 5. The substrate support of claim 1, further comprising: a first shaped DC pulse voltage source coupled to the edge ring voltage control circuit anda second shaped DC pulse voltage source coupled to the substrate voltage control circuit.
  • 6. The substrate support of claim 1, further comprising an insulator disposed between the edge ring portion and the substrate support portion.
  • 7. The substrate support of claim 1, wherein the at least one variable passive component comprises a variable capacitor coupled between at least one shaped DC pulse voltage source and the at least one of the edge ring electrode and the substrate electrode.
  • 8. The substrate support of claim 1, wherein the at least one variable passive component comprises a variable inductor coupled between at least one shaped DC pulse voltage source and the at least one of the edge ring electrode and the substrate electrode.
  • 9. The substrate support of claim 1, wherein the at least one variable passive component comprises a variable inductor in series with a capacitor coupled between at least one shaped DC pulse voltage source and the at least one of the edge ring electrode and the substrate electrode.
  • 10. The substrate support of claim 9, wherein the capacitor is a variable capacitor.
  • 11. A process chamber comprising: a chamber body;a lid disposed on the chamber body;an inductively coupled plasma apparatus positioned above the lid; anda substrate support assembly positioned within the chamber body, the substrate support assembly having a body, the body comprising:a substrate support portion having a substrate electrode embedded therein for applying a substrate voltage;an edge ring portion disposed adjacent to the substrate support portion, the edge ring portion having an edge ring electrode embedded therein for applying an edge ring voltage to an edge ring disposed on the edge ring portion; anda low-k dielectric cylindrical layer laterally separating the edge ring portion from the substrate support portion;an edge ring voltage control circuit coupled between the edge ring electrode disposed in the body and a first pulse DC power source; anda substrate voltage control circuit coupled between the substrate electrode and a second pulse DC power source, wherein the edge ring voltage control circuit and thesubstrate voltage control circuit each have a variable passive component and wherein the edge ring voltage control circuit is configured to transmit a pulse voltage from the first pulse DC power source through the variable passive component to the edge ring electrode, and wherein the edge ring voltage control circuit and substrate voltage control circuit are independently tunable to supply a difference in direct current voltage between the edge ring and the substrate, and wherein the variable passive component is a variable capacitor or a variable inductor.
  • 12. The process chamber of claim 11, further comprising: a first shaped DC pulse voltage source coupled in parallel to both the edge ring voltage control circuit and the substrate voltage control circuit.
  • 13. The process chamber of claim 11, further comprising: a first shaped DC pulse voltage source coupled to the edge ring voltage control circuit anda second shaped DC pulse voltage source coupled to the substrate voltage control circuit.
  • 14. The process chamber of claim 11, wherein the at least one variable passive component comprises a variable capacitor coupled between at least one shaped DC pulse voltage source and the at least one of the edge ring electrode and the substrate electrode.
US Referenced Citations (151)
Number Name Date Kind
5267607 Wada Dec 1993 A
5660673 Miyoshi Aug 1997 A
5730801 Tepman et al. Mar 1998 A
5762714 Mohn et al. Jun 1998 A
5851140 Barns et al. Dec 1998 A
5885428 Kogan Mar 1999 A
6022809 Fan Feb 2000 A
6044534 Seo et al. Apr 2000 A
6206976 Crevasse et al. Mar 2001 B1
6375748 Yudovsky et al. Apr 2002 B1
6391787 Dhindsa et al. May 2002 B1
6511543 Stauss et al. Jan 2003 B1
6589352 Yudovsky et al. Jul 2003 B1
6676759 Takagi Jan 2004 B1
6709547 Ni et al. Mar 2004 B1
6744212 Fischer et al. Jun 2004 B2
6773562 Inagawa et al. Aug 2004 B1
6896765 Steger May 2005 B2
6898558 Klekotka May 2005 B2
7138014 Stevens et al. Nov 2006 B2
7138067 Vahedi et al. Nov 2006 B2
7176403 Steger Feb 2007 B2
7252738 Tong et al. Aug 2007 B2
7311784 Fink Dec 2007 B2
7338578 Huang et al. Mar 2008 B2
7589950 Parkhe et al. Sep 2009 B2
7824146 Lanee et al. Nov 2010 B2
7968469 Collins et al. Jun 2011 B2
8270141 Willwerth et al. Sep 2012 B2
8298371 Koshimizu et al. Oct 2012 B2
8441640 Patalay et al. May 2013 B2
8696878 Riker et al. Apr 2014 B2
8900398 Dhindsa et al. Dec 2014 B2
8933628 Banna et al. Jan 2015 B2
8988848 Todorow et al. Mar 2015 B2
8999106 Liu et al. Apr 2015 B2
9011637 Yamamoto Apr 2015 B2
9017526 Singh et al. Apr 2015 B2
9076636 Ohata et al. Jul 2015 B2
9142391 Yamamoto Sep 2015 B2
9287093 Singh et al. Mar 2016 B2
9410249 Male et al. Aug 2016 B2
9536711 Dhindsa Jan 2017 B2
9583357 Long et al. Feb 2017 B1
9601319 Bravo et al. Mar 2017 B1
9620376 Kamp et al. Apr 2017 B2
9761459 Long et al. Sep 2017 B2
9852889 Kellogg et al. Dec 2017 B1
9881820 Wong et al. Jan 2018 B2
9947517 Luere et al. Apr 2018 B1
10103010 Luere et al. Oct 2018 B2
10504702 Luere et al. Dec 2019 B2
10553404 Luere et al. Feb 2020 B2
10600623 Sarode Vishwanath Mar 2020 B2
10790123 Sarode Vishwanath Sep 2020 B2
10991556 Luere et al. Apr 2021 B2
20030173031 Aggarwal et al. Sep 2003 A1
20030201069 Johnson Oct 2003 A1
20030219989 Terasaki Nov 2003 A1
20040053428 Steger Mar 2004 A1
20040149389 Fink Aug 2004 A1
20040261946 Endoh et al. Dec 2004 A1
20050061447 Kim et al. Mar 2005 A1
20050133164 Fischer et al. Jun 2005 A1
20050263070 Fink Dec 2005 A1
20070131253 Nakamura Jun 2007 A1
20080066868 Masuda Mar 2008 A1
20080173237 Collins et al. Jul 2008 A1
20080236749 Koshimizu et al. Oct 2008 A1
20080289766 Heemstra et al. Nov 2008 A1
20090041568 Muraoka et al. Feb 2009 A1
20090067954 Lanee et al. Mar 2009 A1
20090242134 Iwata Oct 2009 A1
20100101729 Kim et al. Apr 2010 A1
20100196625 Yoon et al. Aug 2010 A1
20100206484 Hiromi et al. Aug 2010 A1
20110011534 Dhindsa Jan 2011 A1
20110031111 Kobayashi Feb 2011 A1
20110157760 Willwerth et al. Jun 2011 A1
20110240221 Yamamoto Oct 2011 A1
20110287631 Yamamoto Nov 2011 A1
20120052599 Brouk et al. Mar 2012 A1
20120091108 Lin et al. Apr 2012 A1
20120176692 Yamawaku et al. Jul 2012 A1
20120256363 Okita et al. Oct 2012 A1
20120305184 Singh et al. Dec 2012 A1
20130093443 Patrick Apr 2013 A1
20130106286 Banna et al. May 2013 A1
20130155568 Todorow et al. Jun 2013 A1
20140017900 Doba et al. Jan 2014 A1
20140213055 Himori et al. Jul 2014 A1
20140265089 Tantiwong et al. Sep 2014 A1
20150064809 Lubomirsky Mar 2015 A1
20150181684 Banna et al. Jun 2015 A1
20150200124 Yamamoto Jul 2015 A1
20150325413 Kim Nov 2015 A1
20150332951 Male et al. Nov 2015 A1
20160042926 Ishikawa et al. Feb 2016 A1
20160056017 Kim et al. Feb 2016 A1
20160189994 Sasaki Jun 2016 A1
20160211165 McChesney et al. Jul 2016 A1
20160211166 Yan et al. Jul 2016 A1
20160240415 Sekiya Aug 2016 A1
20170018411 Sriraman et al. Jan 2017 A1
20170069462 Kanarik et al. Mar 2017 A1
20170103870 Marakhtanov et al. Apr 2017 A1
20170110335 Yang et al. Apr 2017 A1
20170113355 Genetti et al. Apr 2017 A1
20170115657 Trussell et al. Apr 2017 A1
20170117170 Wong et al. Apr 2017 A1
20170117172 Genetti et al. Apr 2017 A1
20170133283 Kenworthy May 2017 A1
20170178917 Kamp et al. Jun 2017 A1
20170213758 Rice et al. Jul 2017 A1
20170236688 Caron et al. Aug 2017 A1
20170236741 Angelov et al. Aug 2017 A1
20170236743 Severson et al. Aug 2017 A1
20170250056 Boswell et al. Aug 2017 A1
20170263478 McChesney et al. Sep 2017 A1
20170278679 Angelov et al. Sep 2017 A1
20170287682 Musselman et al. Oct 2017 A1
20170287753 Musselman et al. Oct 2017 A1
20170316935 Tan et al. Nov 2017 A1
20170330786 Genetti et al. Nov 2017 A1
20170334074 Genetti et al. Nov 2017 A1
20170372912 Long et al. Dec 2017 A1
20180019107 Ishizawa Jan 2018 A1
20180025891 Marakhtanov Jan 2018 A1
20180052104 Larsson et al. Feb 2018 A1
20180061696 D'Ambra et al. Mar 2018 A1
20180090354 Sugita et al. Mar 2018 A1
20180166259 Ueda Jun 2018 A1
20180204757 Fushimi Jul 2018 A1
20180218933 Luere et al. Aug 2018 A1
20180233328 Ueda et al. Aug 2018 A1
20180277416 Takahashi et al. Sep 2018 A1
20180301322 Sugita et al. Oct 2018 A1
20180308737 Moriya et al. Oct 2018 A1
20180315583 Luere et al. Nov 2018 A1
20180315640 Ueda et al. Nov 2018 A1
20190013232 Yan et al. Jan 2019 A1
20190088531 Sarode Vishwanath et al. Mar 2019 A1
20190131115 Gu May 2019 A1
20190172688 Ueda Jun 2019 A1
20190172714 Bobek et al. Jun 2019 A1
20190318918 Saitoh Oct 2019 A1
20190333785 Tanikawa Oct 2019 A1
20190362949 Sarode Vishwanath Nov 2019 A1
20200020510 Shoeb et al. Jan 2020 A1
20200135527 Sung Apr 2020 A1
20200234981 Schmid et al. Jul 2020 A1
Foreign Referenced Citations (20)
Number Date Country
105336561 Feb 2016 CN
105789010 Jul 2016 CN
2000049144 Feb 2000 JP
3020898 Mar 2000 JP
2001230239 Aug 2001 JP
2002176030 Jun 2002 JP
2006186171 Jul 2006 JP
2008078208 Apr 2008 JP
2011054933 Mar 2011 JP
2013511847 Apr 2013 JP
2015050156 Mar 2015 JP
20060117537 Nov 2006 KR
100980972 Sep 2010 KR
439093 Jun 2001 TW
201243942 Nov 2012 TW
201324674 Jun 2013 TW
201526101 Jul 2015 TW
M587362 Dec 2019 TW
2008005756 Jan 2008 WO
20170103870 Jun 2017 WO
Non-Patent Literature Citations (10)
Entry
International Search Report and Written Opinion dated Apr. 24, 2017 for Application No. PCT/US2016/069449.
“Bracelet Holder Vise Clamp Stone Prong Pave Channel Setting Setter.” PROLINEMAX, www.prolinemax.com/BRACELET-HOLDER-VISE-Clamp-Stone-Prong-Pave-Channel-Setting-Setter-_p_1006.html.
Taiwan Office Action dated Sep. 20, 2019 for Application No. 106100104.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2019/051771; dated Mar. 24, 2020; 11 total pages.
Japanese Office Action for Application No. JP 2019-111014 dated Aug. 25, 2020.
International Search Report and Written Opinion for PCT/US2020/016242 dated Jul. 14, 2020.
Japanese Office Action dated Oct. 27, 2020 for Application No. 2018-522911.
Korean Office Action in related application 10-2019-0069336 dated Nov. 11, 2020.
Notice of Allowance in related application KR10-2019-0069336 dated May 9, 2021.
Office Action in related application TW108120460 dated Jan. 26, 2021.
Related Publications (1)
Number Date Country
20200161098 A1 May 2020 US