Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof

Information

  • Patent Grant
  • 8642246
  • Patent Number
    8,642,246
  • Date Filed
    Tuesday, August 14, 2007
    17 years ago
  • Date Issued
    Tuesday, February 4, 2014
    10 years ago
Abstract
Compositions for use in tri-layer applications are described herein, wherein the composition has a matrix and includes: a formulated polymer comprising at least one type of silicon-based moiety forming the matrix of the polymer, a plurality of vinyl groups coupled to the matrix of the polymer, and a plurality of phenyl groups coupled to the matrix of the polymer, at least one condensation catalyst, and at least one solvent. Tri-layer structures are also contemplated herein that comprise an organic underlayer (first layer), antireflective compositions and/or films contemplated herein (second layer) and a photoresist material (third layer) that are coupled to one another. Methods of producing a composition for tri-layer patterning applications includes: providing a formulated polymer comprising at least one type of silicon-based moiety forming the matrix of the polymer, a plurality of vinyl groups coupled to the matrix of the polymer, and a plurality of phenyl groups coupled to the matrix of the polymer, providing at least one condensation catalyst, providing at least one solvent, providing at least one pH modifier, blending the formulated polymer and part of the at least one solvent in a reaction vessel to form a reactive mixture; and incorporating the at least one pH modifier, the at least one condensation catalyst and the remaining at least one solvent into the reactive mixture to form the composition.
Description
FIELD OF THE SUBJECT MATTER

The subject matter relates generally to compositions, coatings and films for use in tri-layer patterning applications and methods of producing the materials.


BACKGROUND

To meet the requirements for faster performance, the characteristic dimensions of features of integrated circuit devices have continued to be decreased. Manufacturing of devices with smaller feature sizes introduces new challenges in many of the processes conventionally used in semiconductor fabrication. One of the most important of these fabrication processes is photolithography.


Effective photolithography impacts the manufacture of microscopic structures, not only in terms of directly imaging patterns on a substrate, but also in terms of producing masks typically used in such imaging. Typical lithographic processes involve formation of a patterned resist layer by patternwise exposing a radiation-sensitive resist to an imaging radiation. The image is subsequently developed by contacting the exposed resist layer with a material (typically an aqueous alkaline developer) to selectively remove portions of the resist layer to reveal the desired pattern. The pattern is subsequently transferred to an underlying material by etching the material in openings of the patterned resist layer. After the transfer is complete, the remaining resist layer is removed.


For some lithographic imaging processes, the resist used does not provide sufficient resistance to subsequent etching steps to enable effective transfer of the desired pattern to a layer underlying the resist. In many instances (e.g., where an ultrathin resist layer is desired, where the underlying material to be etched is thick, where a substantial etching depth is required, and/or where it is desired to use certain etchants for a given underlying material), a hardmask layer may be used as an intermediate layer between the resist layer and the underlying material to be patterned by transfer from the patterned resist. The hardmask layer receives the pattern from the patterned resist layer and should be able to withstand the etching processes needed to transfer the pattern to the underlying material.


Also, where the underlying material layer is excessively reflective of the imaging radiation used to pattern the resist layer, a thin antireflective coating is typically applied between the underlying layer and the resist layer. In some instances, the antireflection/absorbing and hardmask functions may be served by the same material. In some instances, however, the chemistry of the antireflective layer and hardmask layer may need to be sufficiently different such that integrating this layer between a bottom organic layer and an upper photoresist may be difficult.


In addition, device fabrication has migrated to 90 nm node and smaller for next generation chips. The resist thickness has to be thinner than 300 nm due to image collapsing problems, low focus latitude from high NA tool, and high OD of resist formulation in 193 and 157 nm lithography Conventional thin resist films are not sufficient for etching processes. It may be desirable to have hardmask compositions which can be easily etched selective to the overlying photoresist while being resistant to the etch process needed to pattern the underlying layer. In a conventional multi-layer resist method, the bottom layer film consisting of the thick organic material film formed by coating on the film which is processed to form the flat surface, and a mask pattern consisting of a thin inorganic material film is formed on this flat surface by the ordinary photopatterning technology, as what is shown in Prior Art FIG. 1, for example. The exposed portions of the bottom layer film are removed by anisotropic etching such as, for instance, reactive sputter-etching, and the film to be processed of these portions exposed by etching is etched, thereby forming the patterns.


To form the patterns with good accuracy, it is necessary to form the mask pattern consisting of the intermediate film with high degree of accuracy. For this purpose, in the above-mentioned photopatterning step, it is important to absorb the light reflected from the surface of the film which is processed at the different-level portions and the like in the bottom layer film and intermediate film and thereby to prevent the reflected light from reaching the top layer film (the photoresist film).


However, since the intermediate film is used as the mask for etching the thick bottom layer film as described above, it is required that the intermediate film has enough resistance against the anisotropic etching such as the reactive sputter-etching or the like. In the conventional intermediate film, only the dry etching resisting property is seriously considered, but the consideration was not made with respect to the light absorption.


On the other hand, in the conventional multilayer resist method, only the reduction of the light reflected from the surface of the film which is processed by increasing the light absorption by the bottom layer film is seriously considered, and it was thought that the larger light extinction coefficient of the bottom layer film is more preferably.


However, an excessive large light extinction coefficient of the bottom layer film causes the amount of light reflected from the surface of the bottom layer film to be increased, so that the sum of the reflection light from the surface of the layer to be processed which passes through the bottom layer film and the light reflected by the surface of the bottom layer film contrarily increases. Thus, it has been found that the accuracy of dimensions of the resulting patterns is reduced. In addition, it has been found that not only the reflection light from the surface of the bottom layer film but also the reflection light from the surface of the intermediate film becomes a cause of reduction of the accuracy of dimensions of the patterns.


In another general trilayer approach, the underlayer is first applied to the surface of the substrate using a conventional deposition process such as chemical vapor deposition, spin-on coating, evaporation, plasma-assisted chemical vapor deposition, or physical vapor deposition. The thickness of the underlayer is typically about 80 to about 8000 nm. Next, an antireflective coating (BARC)/hardmask is applied to the upper surface of the underlayer utilizing a conventional deposition process such as spin-on coating, evaporation, chemical vapor deposition, plasma-assisted chemical vapor deposition, physical vapor deposition and other like deposition processes. This thickness of the anti-reflective coating/hardmask is typically from about 10 to about 500 nm, with a thickness from about 20 to about 200 nm being more typical.


In order to pattern the trilayer structure, a conventional photoresist is applied to the upper surface of the anti-reflective coating/hardmask and then the photoresist is subjected to conventional lithography which includes the steps of exposing the photoresist to a pattern of radiation, and developing the pattern into the exposed photoresist utilizing a conventional resist developer. Following the lithography step, the pattern is transferred into the trilayer structure by transferring the pattern from the resist to the anti-reflective coating/hardmask, and continuing the pattern transfer from the anti-reflective coating/hardmask to the underlayer and then to the substrate.


The first pattern transfer step typically includes the use of a dry etching process such as reactive-ion etching, ion beam etching, plasma etching or laser ablation. Reactive-ion etching is a preferred etching technique for transferring the pattern from the patterned photoresist to the anti-reflective coating/hardmask.


As stated above, after the first pattern transfer step, the pattern is transferred from the remaining resist and anti-reflective coating/hardmask to the underlayer and then the substrate utilizing one or more etching steps such as reactive ion etching, ion beam etching, plasma etching or laser ablation. The substrate may also be electroplated, metal deposited or ion implanted to form patterned structure. Preferably, the underlayer is etched by using oxygen as an etchant gas or plasma. During or after pattern transfer into the substrate, the anti-reflective coating/hardmask and underlayer are removed utilizing one or more patterning/etching processes that are capable of removing those layers. The result of this process is a patterned substrate.


Based on the different chemistries of the materials utilized in layered applications, along with the goals of the device/circuit and the patterning/etching process, it is clear that it may be more difficult than originally thought to produce and integrate an intermediate material that is compatible with both a bottom organic planarizing layer and an upper photoresist layer. Therefore, an absorbing/anti-reflective coating and lithography material needs to be developed that a) absorbs uniformly in the ultraviolet spectral region, b) contributes to improved photoresist patterning by expanding the focus matrix and the exposure latitude; c) provides improved adhesion between the anti-reflective coating layer and the organic planarizing layer in a tri-layer application and/or tri-layer patterning process; d) has a high etch selectivity; e) forms solutions that are stable and have a good shelf life; f) can be applied to a surface by any suitable application method, such as spin-on coating or chemical vapor deposition (CVD); and g) can be utilized in a number of applications, components and materials, including logic applications and flash applications. Contemplated anti-reflective coating/hardmask combinations, additives, coatings and/or materials are designed to replace and/or eliminate the middle inorganic layer that rests between the anti-reflective coating and the organic planarizing layer.


SUMMARY OF THE INVENTION

Compositions for use in tri-layer applications are described herein, wherein the composition has a matrix and includes: a formulated polymer comprising at least one type of silicon-based moiety forming the matrix of the polymer, a plurality of vinyl groups coupled to the matrix of the polymer, and a plurality of phenyl groups coupled to the matrix of the polymer, at least one condensation catalyst, and at least one solvent.


Tri-layer structures are also contemplated herein that comprise an organic underlayer (first layer), antireflective compositions and/or films contemplated herein (second layer) and a photoresist material (third layer) that are coupled to one another.


Methods of producing a composition for tri-layer patterning applications includes: providing a formulated polymer comprising at least one type of silicon-based moiety forming the matrix of the polymer, a plurality of vinyl groups coupled to the matrix of the polymer, and a plurality of phenyl groups coupled to the matrix of the polymer, providing at least one condensation catalyst, providing at least one solvent, providing at least one pH modifier, blending the formulated polymer and part of the at least one solvent in a reaction vessel to form a reactive mixture; and incorporating the at least one pH modifier, the at least one condensation catalyst and the remaining at least one solvent into the reactive mixture to form the composition.





BRIEF DESCRIPTION OF THE FIGURES

Prior Art FIG. 1 shows a contemplated trench formation utilizing tri-layer photolithography and patterning.



FIG. 2 shows a contemplated method for producing contemplated compositions.



FIG. 3 shows a contemplated reaction vessel arrangement.



FIG. 4 shows simulated reflectance data, which is collected from a tri-layer component comprising a novolac resin as the organic underlayer.



FIG. 5 shows aging time versus film thickness for contemplated films.



FIG. 6 shows aging time versus molecular weight for contemplated compositions.



FIG. 7 shows aging time versus film thickness for contemplated films.





DETAILED DESCRIPTION

Tri-level photoresist patterning and related applications are important in order to achieve high numerical aperture patterning. In order to increase feature resolution, you must either lower the wavelength (by moving to 193 nm lithography) and/or increase the numerical aperture. In addition, a dual-level bottom antireflective coating or BARC reduces the substrate reflectivity or the light reflected back into the photoresist. Utilizing a tri-level or tri-layer patterning scheme also facilitates a dual patterning scheme (DPS), which splits the patterning of aggressive features into two distinct patterning steps. This DPS is an alternative to moving to a higher numerical aperture or next generation wavelength systems. These tri-layer patterning processes also facilitate the use of thinner ArF photoresist—plasma etch load now placed on the middle or intermediate layer and organic underlayer. ArF photoresist can now be designed for patterning performance and not competing plasma etch resiliance.


Prior Art FIG. 1 shows a contemplated trench formation utilizing tri-layer photolithography and patterning. In this scheme, an organic planarizing layer 105 (that is also UV absorbing) is spin-coated onto a fairly rough surface or substrate 103 in step 110. The trench feature 180 that is to be produced in the subsequent steps is drawn in for reference purposes. In step 120, a thin silicon-based layer 125 is spin-coated onto the organic layer 105. This silicon-based layer 125 is designed to aid in pattern transfer and has some absorption properties. In step 130, a photoresist 135 is applied to the layered material. The photoresist is then patterned and developed 137. A pattern 145 is then etched into the silicon-based layer in step 140. In step 150, the pattern 155 is transferred into the organic underlayer by an etching step, oxidizing or reducing chemistry, which may include an O2/N2 etch or N2/H2 chemistry. The pattern 165 is etched into the substrate in step 160, and the silicon-based layer is removed. Finally, in step 170, the organic fill material is cleaned from the substrate 103 surface 175 fully exposing the surface and the new trench feature. The thin silicon-based layer, which is added in step 120, is the component that may be the most difficult to produce and incorporate into the layering and trench-building process, since as already discussed, it must be compatible with both the organic planarizing layer and the photoresist, while at the same time having a desirable etch selectivity.


Based on the goals discussed earlier and the description of the conventional multi-layer or tri-layer patterning applications, compositions, absorbing/anti-reflective coatings and lithography materials have now been developed for these applications that a) absorb uniformly in the ultraviolet spectral region, b) contribute to improved photoresist patterning by expanding the focus matrix and the exposure latitude; c) provide improved adhesion between the anti-reflective coating layer and the organic planarizing layer in a tri-layer application and/or tri-layer patterning process; d) have a high etch selectivity; e) form solutions that are stable and have a good shelf life; f) can be applied to a surface by any suitable application method, such as spin-on coating or chemical vapor deposition (CVD); and g) can be utilized in a number of applications, components and materials, including logic applications and flash applications. These additives, coatings and/or materials are designed to replace and/or eliminate the middle inorganic layer that rests between the anti-reflective coating and the organic planarizing layer.


Compositions for use in tri-layer applications are described herein, wherein the composition has a matrix and includes: a formulated polymer comprising at least one type of silicon-based moiety forming the matrix of the polymer, a plurality of vinyl groups coupled to the matrix of the polymer, and a plurality of phenyl groups coupled to the matrix of the polymer, at least one condensation catalyst, and at least one solvent.


Tri-layer structures are also contemplated herein that comprise an organic underlayer (first layer), compositions, antireflective compositions and/or films contemplated herein (second layer) and a photoresist material (third layer) that are coupled to one another.


As used herein, the term “coupled” means that a plurality of monomers, moieties or constituents, a surface and layer or two layers are physically or chemically attached to one another or there's a physical attraction between two parts of matter or components, including bond forces such as covalent and ionic bonding, and non-bond forces such as Van der Waals, electrostatic, coulombic, hydrogen bonding and/or magnetic attraction. As used herein, “coupled” also refers to moieties or substituents that are physically drawn to, attached to, trapped in or chemically bonded to the matrix. Also, as used herein, the term coupled is meant to encompass a situation where two layers or materials are directly attached to one another, but the term is also meant to encompass the situation where the two layers or materials are coupled to one another indirectly—such as the case where there's an adhesion promoter layer between two other layers.


Methods of producing a composition for tri-layer patterning applications includes: providing a formulated polymer comprising at least one type of silicon-based moiety forming the matrix of the polymer, a plurality of vinyl groups coupled to the matrix of the polymer, and a plurality of phenyl groups coupled to the matrix of the polymer, providing at least one condensation catalyst, providing at least one solvent, providing at least one pH modifier, blending the formulated polymer and part of the at least one solvent in a reaction vessel to form a reactive mixture; and incorporating the at least one pH modifier, the at least one condensation catalyst and the remaining at least one solvent into the reactive mixture to form the composition.


“High Ratio” Inorganic Materials


High ratio inorganic materials and/or compounds are contemplated as a component in the compositions and coatings contemplated herein and, other than the at least one solvent, are present in the compositions and materials in the highest concentration of any component. In contemplated embodiments, these inorganic compounds and/or materials have a high molar ratio (“high ratio”) of inorganic atom-oxygen linkages to other components or atoms, which in turn increases the “inorganic character” of the compound, as compared to compounds that may contain more carbon atoms. These inorganic materials are generally non-absorbing or weakly absorbing at some wavelengths and are designed to provide inorganic character to the composition without affecting the absorbing or adhesion characteristics of the composition or resulting coatings or films. In some embodiments, high ratio inorganic materials and/or compounds comprises silicon, which helps to increase the inorganic character of the ultimate composition through the incorporation of more silicon into the composition.


Specific examples of high ratio inorganic compounds and/or materials include silicon-based moieties, such as polymers, compounds, moities, substituents and/or monomers, which lead to more Si—O linkages in the composition or material. Contemplated silicon-based compounds and/or monomers include alkoxysilane compounds, such as tetramethoxysilane, tetraethoxysilane, tetrabutoxysilane, siloxane compounds, such as methylsiloxane, methylsilsesquioxane, some silazane polymers, dimethylsiloxane, silicate polymers, silsilic acid derivaties, acetoxy-based monomers and mixtures thereof. A contemplated silazane polymer is perhydrosilazane, which has a “transparent” polymer backbone.


Absorbing Materials


Contemplated compositions, materials, coatings and films also comprise at least one absorbing compound. Unlike the high ratio inorganic compounds discussed earlier, and other constituents disclosed herein, these contemplated absorbing compounds comprise a moiety within the compound that allows it to absorb light at particular wavelengths, and in some cases very strongly absorb light at particular wavelengths. Many naphthalene-, phenanthrene- and anthracene-based compounds have significant absorption at 248 nm and below. Benzene-based, equivalently termed here phenyl-based, compounds have significant absorption at wavelengths shorter than 200 nm. While these naphthalene-, anthracene-, phenanthrene- and phenyl-based compounds are frequently referred to as dyes, the term absorbing compound is used here because the absorptions of these compounds are not limited to wavelengths in the visible region of the spectrum.


However, not all such absorbing compounds can be incorporated into inorganic-based materials for use as anti-reflective coating materials. Contemplated absorbing compounds suitable for use have a definable absorption peak centered around wavelengths such as 248 nm, 193 nm, 157 nm or other ultraviolet wavelengths, such as 365 nm, that may be used in photolithography. It is contemplated that a suitable “definable absorption peak” is one that is at least 0.5 nm in width, wherein width is calculated by those methods commonly known in the art of photolithography. In other embodiments, the definable absorption peak is at least 1 nm in width. In yet other embodiments, the definable absorption peak is at least 5 nm in width. In some contemplated embodiments, the definable absorption peak is at least 10 nm in width.


The chromophores of suitable absorbing compounds typically have at least one benzene ring, and where there are two or more benzene rings, the rings may or may not be fused. Other chromaphores have “aromatic-type” linkages, such as vinyl groups at the ends or within the compounds that convert the compound to an absorbing compound. Incorporatable absorbing compounds have an accessible reactive group attached to the chromophore, wherein the reactive groups include hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to one, two, or three “leaving groups,” such as alkoxy groups, acetoxy groups or halogen atoms. Ethoxy or methoxy groups or chlorine atoms are frequently used as leaving groups. Contemplated reactive groups comprise siliconalkoxy, silicondialkoxy and silicontrialkoxy groups, such as siliconethoxy, silicondiethoxy, silicontriethoxy, siliconmethoxy, silicondimethoxy, and silicontrimethoxy groups and halosilyl groups, such as chlorosilyl, dichlorosilyl, and trichlorosilyl groups, and acetoxy groups like methyltriacetoxysilane, tetraacetoxysilane.


The reactive groups may be directly bonded to the chromophore, as, for example, in phenyltriethoxysilane, or the reactive groups may be attached to the chromophore through an ester, a ketone and/or oxygen linkage or a hydrocarbon bridge, as, for example, in 9-anthracene carboxy-alkyl trialkoxysilane. The inclusion of silicontrialkoxy groups on chromophores has been found to be advantageous, especially for promoting stability of the absorbing spin-on glass or “SOG” films. Other useful absorbing compounds are those compounds that contain an azo group, —N═N—, and an accessible reactive group, particularly those containing an azo group linking benzene rings, especially when absorption around 365 nm is desired for the particular application. Azo groups may be included as part of a straight-chain molecule, a cyclic molecule or a hybrid straight-chain/cyclic molecule.


The absorbing compounds may be incorporated interstitially in the inorganic-based material matrix. The absorbing compounds may also be chemically bonded to the inorganic-based material or polymer through crosslinking reactions. In some contemplated embodiments, the incorporatable absorbing compounds form bonds with the inorganic-based material backbone or polymer backbone via the accessible reactive groups.


Examples of absorbing compounds suitable for use include those absorbing compounds that have a definable absorption peak around wavelengths less than about 375 nm, such as 365 nm, 248 nm, 193 nm and 157 nm, which include compounds such as anthraflavic acid (1), 9-anthracene carboxylic acid (2), 9-anthracene methanol (3), 9-anthracene ethanol (4), 9-anthracene propanol (5), 9-anthracene butanol (6), alizarin (7), quinizarin (8), primuline (9), 2-hydroxy-4-(3-triethoxysilylpropoxy)-diphenylketone (10), 2-hydroxy-4-(3-trimethoxysilylpropoxy)-diphenylketone (11), 2-hydroxy-4-(3-tributoxysilyipropoxy)-diphenylketone (12), 2-hydroxy-4-(3-tripropoxysilylpropoxy)-diphenylketone (13), rosolic acid (14), triethoxysilylpropyl-1,8-naphthalimide (15), trimethoxysilylpropyl-1,8-naphthalimide (16), tripropoxysilylpropyl-1,8-naphthalimide (17), 9-anthracene carboxy-methyl triethoxysilane (18), 9-anthracene carboxy-ethyl triethoxysilane (19), 9-anthracene carboxy-butyl triethoxysilane (20), 9-anthracene carboxy-propyl triethoxysilane (21), 9-anthracene carboxy-methyl trimethoxysilane (22), 9-anthracene carboxy-ethyl tributoxysilane (23), 9-anthracene carboxy-methyl tripropoxysilane (24), 9-anthracene carboxy-propyl trimethoxysilane (25), phenyltriethoxysilane (26), phenyltrimethoxysilane (27), phenyltripropoxysilane (28), 10-phenanthrene carboxy-methyl triethoxysilane (29), 10-phenanthrene carboxy-ethyl triethoxysilane (30), 10-phenanthrene carboxy-methyl trimethoxysilane (31), 10-phenanthrene carboxy-propyl triethoxysilane (32), 4-phenylazophenol, (33), 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane (34), 4-methoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane (35), 4-ethoxyphenylazobenzene-4-carboxy-propyl triethoxysilane (36), 4-butoxyphenylazobenzene-4-carboxy-propyl triethoxysilane (37), 4-methoxyphenylazobenzene-4-carboxy-methyl triethoxysilane (38), 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane (39), 4-methoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane (40), 4-methoxyphenylazobenzene-4-carboxy-propyl triethoxysilane (41), vinyltriethoxysilane (42) and combinations, thereof. It should be appreciated, however, that this list of specific compounds is not an exhaustive list, and that contemplated compounds can be selected from the broader chemical compound classes that comprise these specific compounds.


Absorbing compounds 1-25 and 29-41 are available commercially, for example, from Aldrich Chemical Company (Milwaukee, Wis.). 9-anthracene carboxy-alkyl trialkoxysilanes are synthesized using esterification methods, as described in detail in PCT Patent Application Serial No. PCT/US02/36327 filed on Nov. 12, 2002, which is commonly-owned and incorporated herein in its entirety by reference, including all related and commonly-owned foreign and domestic issued patents and patent applications. Absorbing compound 26-28 is available commercially from Gelest, Inc. (Tullytown, Pa.). Examples of phenyl-based absorbing compounds in addition to absorbing compound (26-28), many of which are also commercially available from Gelest, Inc., include structures with silicon-based reactive groups attached to phenyl rings or to substituted phenyls, such as methylphenyl, chlorophenyl, and chloromethylphenyl. Specific phenyl-based absorbing compounds include phenyltrimethoxysilane, benzyltrichlorosilane, chloromethylphenyltrimethoxysilane, phenyltrifluorosilane, to name only a few examples. Diphenyl silanes including one or two “leaving groups,” such as diphenylmethylethoxysilane, diphenyldiethoxysilane, and diphenyldichlorosilane, to again name only a few examples, are also suitable incorporatable absorbing compounds Alkoxybenzoic acids may also be used as absorbing compounds, including methoxybenzoic acid


Adhesion Promoters


In some contemplated embodiments, the at least one adhesion promoter comprises at least one of the following characteristics: a) is thermally stable after heat treatment, such as baking, at temperatures generally used for electronic and semiconductor component manufacture; b) has a relatively low catalytic ability, in that the donor does not initiate significant crosslinking activity in the composition to which it is added; c) is relatively neutral, so that the composition retains a low pH; d) is acidic, in order to lower the pH of the composition; e) does not initiate or propagate reactions that increase the molecular weight of species in the composition to which it is added; f) can surprisingly act as an adhesion promoter by promoting electrostatic and coulombic interactions between layers of materials, as opposed to conventionally understood Van der Waals interactions.


Adhesion to an organic resist polymer designed for low absorptivity in the UV is inherently difficult because such resists are designed with low polarity and few functional groups with which to interact adhesively. The adhesion mechanisms of silica-based formulations specifically to these organic resist polymers follows one of two pathways: a) adhesion promotion due to reduction in silanol content and increase in Van der Waals interactions and b) adhesion promotion due to an increase in the ionic contributions such as electrostatic and coulombic interaction.


In some embodiments, adhesion promoters may comprise polydimethylsiloxane materials, ethoxy or hydroxy-containing silane monomers, vinyl-containing silane monomers, such as vinyltriethoxysilane (VTEOS), acrylated silane monomers, or silyl hydrides. VTEOS, for example, has been shown to impart enhanced adhesion improvement in coatings and compositions, such as those described in the Examples section. VTEOS can act both as an adhesion promoter and as an absorbing compound. Surprisingly, as is shown in the Examples section, the addition of VTEOS also improved the exposure latitude and depth of focus in the films. In other words, the presence of VTEOS greatly improved photoresist adhesion and patterning process margin.


In a contemplated embodiment, the addition of at least one adhesion promoter, such as at least one weak acid/weak base, at least one weak acid/strong base, at least one strong acid/strong base, at least one strong acid/weak base, at least one amine base, at least one amine salt or a combination thereof increases the electrostatic and coulombic interaction. Both modeled and experimental results indicate that the salt and not the neutral (non-ionic) form of the amine enhance adhesion sufficiently with the resist to avoid collapse of lithographically defined resist lines. This adhesion enhancement is demonstrated in the successful use of higher pH amine formulations (for example pH 5.5 formulations) where and APTEOS nitrate salt has been formed. This mechanism can also be found when using other amine salts such as: APTEOS acetate, APTEOS sulfonate, APTEOS methanesulfonate, APTEOS triflate, APTEOS tosylate, APTEOS nonafluorobutane-1-sulfonate (nfbs), tetramethylammonium hydroxide, tetramethylammonium acetate, tetramethylammonium nitrate, tetramethylammonium sulfate, tetramethylammonium methanesulfonate, tetramethylammonium triflate, tetramethylammonium tosylate, tetramethylammonium nfbs, tetramethylammonium triflate, ammonium nitrate, ammonium acetate, ammonium triflate, ammonium tosylate, ammonium sulfonate, ammonium methanesulfonate, or any other amine salt or combination of amine salts. Suitable amine bases comprise ammonium, pyridine, aniline, TMAH, CTAH, TBAH, APTEOS or a combination thereof. The modeled adhesion energies indicates that the higher ionic salts (higher charged centers) increase the adhesion better than those in which the charge may be more distributed, such as in ammonium centers with large R groups. (see Table 1 below) Mechanisms and apparatus used for the modeling experiments are those found in U.S. Pat. No. 6,544,650 issued to Nancy Iwamoto, and U.S. application Ser. Nos. 09/543,628; 10/113,461; 10/326,233 and related PCT Applications, such as PCT/US03/07607, and foreign applications, all of which are commonly owned by Honeywell International Inc. and which are incorporated herein in their entirety.










TABLE 1








Adhesion J/m2



Lo j/m2





Adhesion of hi silanol content DUV193 to Norbornene-
3.74


based Resist


Adhesion of lo silanol content DUV193 to Norbornene-
5.66


based Resist





Additives to hi silanol content DUV 193
Adhesion J/m2





trivinylsilane
4.05


cetyltrimethylammonium hydroxide
2.57


tmah added (tmah nitrate)
5.18


py nitrate
5.72


trimethylsulfonium methylsulfate
4.70


tetramethylammonium methanesulfonate
5.50


tetramethylammonium benzenesulfonate
5.20


tetramethylammonium toluenesulfonate
3.9


tetramethylammonium triflate (trifluoromethanesulfonate)
4.5


apteos triflate (trifluoromethanesulfonate)
5.2


apteos methanesulfonate
5.2


NH4 triflate
6


NH4 nitrate
6


NH4 toluenesulfonate
4.8


NH4 methanesulfonate
5.3


apteos nitrate
4.9


apteos toluene sulfonate
2.9


NH4OH
3.8


apteos triflate (trifluoromethanesulfonate) 5
3.82


molecules water


NH4 triflate (5 molecules water)
3.88


NH4 triflate (2 molecules water)
5.85


apteos nitrate added but not bonded
3.85


apteos no salt, bonded (compare to apteos
3.08


nitrate salt at 4.9)


ammonium trfilate + ammonium triflate
8.3


apteos triflate + ammonium triflate
6.1


apteos triflate + apteos triflate
5.1









The phrase “adhesion promoter” as used herein means any component or combination of components that when used with a target composition, improves the adhesion of the target composition to substrates and/or surfaces as compared to using the target composition alone. The target composition may comprise any composition that can be or is applied to a substrate, surface, layered surface, electronic or semiconductor component, including a formulated polymer, an antireflective composition, a coating material and/or a thermally degradable polymer. The adhesion promoter may be a co-monomer reacted with a thermally degradable polymer precursor or an additive to a thermally degradable polymer precursor. Examples of several useful adhesion promoters are disclosed in commonly assigned pending U.S. application Ser. No. 10/158,513 filed May 30, 2002 incorporated herein in its entirety.


In some embodiments, enhancement of the adhesion is concentration controlled, so that any procedure that helps to concentrate the adhesion promoter, such as an amine salt, at the interface of the adjacent layer, such as a silica-resist, will help adhesion. A simple solution is increasing the amount of salt species introduced into the formulation. Such other procedures include: solvation control of the salt by control of solvent; evaporation control of the solvent during spin coat or bake; addition of solubility control agents which control solubility of the salt, and addition of ammonium species to the resist.


Modeling indicates that a salt mixture can be used with the same effectiveness as a single component. These mixed salt adhesion promotion schemes can be used when an increase in organic amine is required for solvent compatibility. In this case, a larger R group on the substituted ammonium center may be used, but the loss in adhesion can be compensated by addition of a more charged center such as ammonium.


As mentioned, a contemplated adhesion promoter may comprise nitrogen, phosphorus or any other similarly characterized atom. Contemplated adhesion promoters may comprise a neutral or acidic compound or molecule, such as amines salts, methylammonium nitrate, tetramethylammonium acetate (TMAA), tetrabutylammonium acetate (TBAA), cetyltrimethylammonium acetate (CTAA), and tetramethylammonium nitrate (TMAN). TMAN can be obtained by either dissolving TMAN in water or by converting TMAA or TMAH to TMAN by using nitric acid. Contemplated salts comprise those salts from strong acids and primary, secondary, tertiary or tetraamines.


Another suitable adhesion promoter contemplated herein is to utilize an amine salt, such as those already disclosed herein, synthesized using at least one acid with a long tail or bulky group, such as nonafluorobutane-1-sulfonic acid (nfbs) or dodecylbenzenesulfonic acid (dbs) or to utilize an acid bonded to a silane having a reactive functional group, such as acid-TEOS.


In addition, adhesion enhancement is demonstrated in the successful use of higher pH amine formulations (for example pH 5.5 formulations) where and APTEOS nitrate salt has been formed. This mechanism can also be found when using other amine salts such as: APTEOS acetate, APTEOS sulfonate, APTEOS methanesulfonate, APTEOS triflate, APTEOS tosylate, APTEOS nonafluorobutane-1-sulfonate (nfbs), tetramethylammonium hydroxide, tetramethylammonium acetate, tetramethylammonium nitrate, tetramethylammonium sulfate, tetramethylammonium methanesulfonate, tetramethylammonium triflate, tetramethylammonium tosylate, tetramethylammonium nfbs, tetramethylammonium triflate, ammonium nitrate, ammonium acetate, ammonium triflate, ammonium tosylate, ammonium sulfonate, ammonium methanesulfonate, or any other amine salt or combination of amine salts. Suitable amine bases comprise ammonium, pyridine, aniline, TMAH, CTAH, TBAH, APTEOS or a combination thereof.


In some embodiments, the ratio of “mole of nitrogen/Si-compound weight (ppm)” in nitrogen containing absorbing compositions and/or coating materials is greater than about 0.01. In other embodiments, the ratio of “mole of nitrogen/Si-compound weight (ppm)” in nitrogen containing absorbing compositions and/or coating materials is greater than about 3. In yet other embodiments, the ratio of “mole of nitrogen/Si-compound weight (ppm)” in nitrogen containing absorbing compositions and/or coating materials is greater than about 4. The optimum ratio depends on an evaluation of several properties by the skilled artisan of the coating material/composition, such as the amount of organic moiety present in the material/composition, the degree of crosslinking present in the material/composition and the pH of the material/composition; however, it should be understood that the ratio influences the lithography properties and film densification properties more so than any other previously mentioned material/composition property with respect to nitrogen-containing compositions. It should also be understood that depending on the amount of organic moiety present, the degree of crosslinking present and/or the pH of the material/composition, a suitable mole/weight ratio can be recognized and used to produce the absorbing compositions and/or coating materials contemplated herein. As mentioned, it should be understood that the at least one adhesion promoter can also function as a crosslinking agent or a catalyst.


Adhesion promoters contemplated herein may also comprise compounds having at least bifunctionality wherein the bifunctionality may be the same or different and at least one of the first functionality and the second functionality is selected from the group consisting of Si-containing groups; N-containing groups; C bonded to O-containing groups; hydroxyl groups; and C double bonded to C-containing groups. The phrase “compound having at least bifunctionality” as used herein means any compound having at least two functional groups capable of interacting or reacting, or forming bonds as follows. The functional groups may react in numerous ways including addition reactions, nucleophilic and electrophilic substitutions or eliminations, radical reactions, etc. Further alternative reactions may also include the formation of non-covalent bonds, such as Van der Waals, electrostatic bonds, ionic bonds, and hydrogen bonds.


In some embodiments of the at least one adhesion promoter, preferably at least one of the first functionality and the second functionality is selected from Si-containing groups; N-containing groups; C bonded to O-containing groups; hydroxyl groups; and C double bonded to C-containing groups. Preferably, the Si-containing groups are selected from Si—H, Si—O, and Si—N; the N-containing groups are selected from such as C—NH2 or other secondary and tertiary amines, imines, amides, and imides; the C bonded to O-containing groups are selected from ═CO, carbonyl groups such as ketones and aldehydes, esters, —COOH, alkoxyls having 1 to 5 carbon atoms, ethers, glycidyl ethers; and epoxies; the hydroxyl group is phenol; and the C double bonded to C-containing groups are selected from allyl and vinyl groups. For semiconductor applications, the more preferred functional groups include the Si-containing groups; C bonded to O-containing groups; hydroxyl groups; and vinyl groups.


Contemplated adhesion promoters may also comprise an organic resin-based material that further comprises phenolic-containing resins, novolac resins, such as CRJ-406 or HRJ-11040 (both from Schenectady International, Inc.), organic acrylate and/or a styrene resins. Other adhesion promoters may comprise polydimethylsiloxane materials, ethoxy or hydroxy-containing silane monomers, vinyl-containing silane monomers, acrylated silane monomers, or silyl hydrides.


An example of a contemplated adhesion promoter having Si-containing groups is silanes of the Formula I: (R14)k(R15)lSi(R16)m(R17)n wherein R14, Ru15, R16, and R17 each independently represents hydrogen, hydroxyl, unsaturated or saturated alkyl, substituted or unsubstituted alkyl where the substituent is amino or epoxy, saturated or unsaturated alkoxyl, unsaturated or saturated carboxylic acid radical, or aryl; at least two of R14, R15, R16, and R17 represent hydrogen, hydroxyl, saturated or unsaturated alkoxyl, unsaturated alkyl, or unsaturated carboxylic acid radical; and k+l+m+n≦4. Examples include vinylsilanes such as H2C═CHSi(CH3)2H and H2C═CHSi(R18)3 where R18 is CH3O, C2H5O, AcO, H2C═CH, or H2C═C(CH3)O—, (R18=alkoxy, acetoxy groups), or vinylphenylmethylsilane; allylsilanes of the formula H2C═CHCH2—Si(OC2H5)3 and H2C═CHCH2—Si(H)(OCH3)2; glycidoxypropylsilanes such as (3-glycidoxypropyl)methyldiethoxysilane and (3-glycidoxypropyl)trimethoxysilane; methacryloxypropylsilanes of the formula H2C═(CH3)COO(CH2)3—Si(OR19)3 where R19 is an alkyl, preferably methyl or ethyl; aminopropylsilane derivatives including H2N(CH2)3Si(OCH2CH3)3, H2N(CH2)3Si(OH)3, or H2N(CH2)3OC(CH3)2CH═CHSi(OCH3)3. The aforementioned silanes are commercially available from Gelest.


An example of a contemplated adhesion promoter having C bonded to O-containing groups is glycidyl ethers including but not limited to 1,1,1-tris-(hydroxyphenyl)ethane tri-glycidyl ether which is commercially available from TriQuest. An example of a preferred adhesion promoter having C bonded to O-containing groups is esters of unsaturated carboxylic acids containing at least one carboxylic acid group. Examples include trifunctional methacrylate ester, trifunctional acrylate ester, trimethylolpropane triacrylate, dipentaerythritol pentaacrylate, and glycidyl methacrylate. The foregoing adhesion promoters are commercially available from Sartomer.


One contemplated adhesion promoter having vinyl groups is vinyl cyclic pyridine oligomers or polymers wherein the cyclic group is pyridine, aromatic, or heteroaromatic. Useful examples include but not limited to 2-vinylpyridine and 4-vinylpyridine, commercially available from Reilly; vinyl aromatics; and vinyl heteroaromatics including but not limited to vinyl quinoline, vinyl carbazole, vinyl imidazole, and vinyl oxazole.


An example of a preferred adhesion promoter having Si-containing groups is the polycarbosilane disclosed in commonly assigned copending allowed U.S. patent application Ser. No. 09/471,299 filed Dec. 23, 1999 incorporated herein by reference in its entirety. The polycarbosilane is that shown in Formula II:




embedded image



in which R20, R26, and R29 each independently represents substituted or unsubstituted alkylene, cycloalkylene, vinylene, allylene, or arylene; R21, R22, R23, R24, R27, and R28 each independently represents hydrogen atom or organo group comprising alkyl, alkylene, vinyl, cycloalkyl, allyl, or aryl and may be linear or branched; R25 represents organosilicon, silanyl, siloxyl, or organo group; and p, q, r, and s satisfy the conditions of [4≦p+q+r+s≦100,000], and q and r and s may collectively or independently be zero. The organo groups may contain up to 18 carbon atoms but generally contain from about 1 to about 10 carbon atoms. Useful alkyl groups include —CH2— and —(CH2)t— where t>1.


Contemplated polycarbosilanes include dihydridopolycarbosilanes in which R20 is a substituted or unsubstituted alkylene or phenyl, R21 group is a hydrogen atom and there are no appendent radicals in the polycarbosilane chain; that is, q, r, and s are all zero. Another preferred group of polycarbosilanes are those in which the R21, R22, R23, R24, R25, and R28 groups of Formula II are substituted or unsubstituted alkenyl groups having from 2 to 10 carbon atoms. The alkenyl group may be ethenyl, propenyl, allyl, butenyl or any other unsaturated organic backbone radical having up to 10 carbon atoms. The alkenyl group may be dienyl in nature and includes unsaturated alkenyl radicals appended or substituted on an otherwise alkyl or unsaturated organic polymer backbone. Examples of these preferred polycarbosilanes include dihydrido or alkenyl substituted polycarbosilanes such as polydihydridocarbosilane, polyallylhydrididocarbosilane and random copolymers of polydihydridocarbosilane and polyallylhydridocarbosilane.


In other contemplated polycarbosilanes, the R21 group of Formula II is a hydrogen atom and R21 is methylene and the appendent radicals q, r, and s are zero.


Other preferred polycarbosilane compounds of the invention are polycarbosilanes of Formula II in which R21 and R27 are hydrogen, R20 and R29 are methylene, and R28 is an alkenyl, and appendent radicals q and r are zero. The polycarbosilanes may be prepared from well-known prior art processes or provided by manufacturers of polycarbosilane compositions. In the most preferred polycarbosilanes, the R21 group of Formula II is a hydrogen atom; R24 is —CH2—; q, r, and s are zero and p is from 5 to 25. These polycarbosilanes may be obtained from Starfire Systems, Inc.


As can be observed in Formula II, the polycarbosilanes utilized may contain oxidized radicals in the form of siloxyl groups when r>0. Accordingly, R25 represents organosilicon, silanyl, siloxyl, or organo group when r>0. It is to be appreciated that the oxidized versions of the polycarbosilanes (r>0) operate very effectively in, and are well within the purview of the present invention. As is equally apparent, r can be zero independently of p, q, and s the only conditions being that the radicals p, q, r, and s of the Formula II polycarbosilanes must satisfy the conditions of [4<p+q+r+s<100,000], and q and r can collectively or independently be zero.


The polycarbosilane may be produced from starting materials that are presently commercially available from many manufacturers and by using conventional polymerization processes. As an example of synthesis of the polycarbosilanes, the starting materials may be produced from common organo silane compounds or from polysilane as a starting material by heating an admixture of polysilane with polyborosiloxane in an inert atmosphere to thereby produce the corresponding polymer or by heating an admixture of polysilane with a low molecular weight carbosilane in an inert atmosphere to thereby produce the corresponding polymer or by heating an admixture of polysilane with a low molecular carbosilane in an inert atmosphere and in the presence of a catalyst such as polyborodiphenylsiloxane to thereby produce the corresponding polymer. Polycarbosilanes may also be synthesized by the Grignard Reaction reported in U.S. Pat. No. 5,153,295 hereby incorporated by reference in its entirety.


An example of a preferred adhesion promoter having hydroxyl groups is phenol-formaldehyde resins or oligomers of the Formula III —[R30C6H2(OH)(R31)]u— where R30 is substituted or unsubstituted alkylene, cycloalkylene, vinyl, allyl, or aryl; R31 is alkyl, alkylene, vinylene, cycloalkylene, allylene, or aryl; and u=3-100. Examples of useful alkyl groups include —CH2— and —(CH2)v— where v>1. A particularly useful phenol-formaldehyde resin oligomer has a molecular weight of 1500 and is commercially available from Schenectady International Inc.


Catalysts


As mentioned, some contemplated compositions comprises at least one condensation catalyst and at least one acid catalyst. As used herein, the term “catalyst” means any substance that affects the rate of the chemical reaction by lowering the activation energy for the chemical reaction. In some cases, the catalyst will lower the activation energy of a chemical reaction without itself being consumed or undergoing a chemical change.


Condensation catalysts act as crosslinking agents in these embodiments. As used herein, the term “crosslinking” refers to a process in which at least two molecules, or two portions of a long molecule, are joined together by a chemical interaction. Such interactions may occur in many different ways including formation of a covalent bond, formation of hydrogen bonds, hydrophobic, hydrophilic, ionic or electrostatic interaction. Furthermore, molecular interaction may also be characterized by an at least temporary physical connection between a molecule and itself or between two or more molecules.


Condensation catalysts are generally activated at a particular temperature, such as an elevated temperature. Thus, at one temperature (such as room temperature) contemplated compositions maintain a low molecular weight, thus enabling good planarization ability over the wafer and/or substrate topography. When the temperature is elevated (such as to greater than 50° C.), the condensation catalyst catalyzes the Si—OH condensation reaction, which results in a more dense structure and, in some cases, improved photolithographic performance overall.


Contemplated condensation catalysts also comprise those catalysts that can aid in maintaining a stable silicate solution. The metal-ion-free catalyst is selected from the group consisting of onium compounds and nucleophiles. The catalyst may be, for example an ammonium compound, an amine, a phosphonium compound or a phosphine compound. Non-exclusive examples of such include tetraorganoammonium compounds and tetraorganophosphonium compounds including tetramethylammonium acetate (TMAA), tetramethylammonium hydroxide (TMAH), tetrabutylammonium acetate (TBAA), cetyltrimethylammonium acetate (CTAA), tetramethylammonium nitrate (TMAN), triphenylamine, trioctylamine, tridodecylamine, triethanolamine, tetramethylphosphonium acetate, tetramethylphosphonium hydroxide, triphenylphosphine, trimethylphosphine, trioctylphosphine, and combinations thereof.


In some embodiments, TMAN is used and can be obtained by either dissolving TMAN in water or by converting TMAA or TMAH to TMAN by using nitric acid. The composition may further comprise a non-metallic, nucleophilic additive which accelerates the crosslinking of the composition. These include dimethyl sulfone, dimethyl formamide, hexamethylphosphorous triamide (HMPT), amines and combinations thereof. Examples of several useful crosslinking agents are disclosed in commonly owned and pending PCT Application Serial No.: PCT/US02/15256 (Publication No. WO 03/088344), which is also herein incorporated herein in its entity. TMAN, in some embodiments, is used to increase crosslinking density for improved robustness to nitrogen/oxygen RIE plasma and as an amine source for photoresist adhesion.


In other contemplated embodiments, at least one acid catalysts may also be added. Contemplated acid catalysts include HNO3, HCl, lactic acid, acetic acid, oxalic acid, succinic acid, maleic acid and combinations thereof. The at least one acid catalyst is added to the composition in order to “tune” or adjust the pH of the final material so that it is compatible or more compatible with any chosen resist material, including those with absorption peaks around 365 nm, 248 nm, 193 nm and 157 nm, along with increasing the stability and shelf life of the composition. Contemplated acid catalysts may also be those also found in commonly assigned PCT Application Serial No.: PCT/US01/45306 filed on Nov. 15, 2001, which is incorporated by reference in its entirety. In some embodiments, nitric acid is incorporated into the composition in a reflux reaction, and in other embodiments, nitric acid is added a second time to adjust the pH after the addition of the condensation catalyst in order to improve shelf life of the composition.


Solvents


As mentioned, at least one solvent may be added to the composition. The solvent may be specifically chosen for a particular coating composition based on polarity and/or functional groups other than those characteristics needed by the solvent to blend with or solvate the components of the coating composition. Typical solvents are also those solvents that are able to solvate the non-inorganic materials and absorbing compounds contemplated herein, so that they may be used as coating compositions, materials and films. Contemplated solvents include any suitable pure or mixture of organic, organometallic or inorganic molecules that are volatilized at a desired temperature. The solvent may also comprise any suitable pure or mixture of polar and non-polar compounds. In some embodiments, the solvent comprises water, ethanol, propanol, acetone, toluene, ethers, cyclohexanone, butyrolactone, methylethylketone, methylisobutylketone, N-methylpyrrolidone, polyethyleneglycolmethylether, mesitylene, ethyl lactate, PGMEA, anisole, and families of poly-ether solvents such as carbitols (which constitute a family of ethyleneglycol ethers capped by hydroxy, alkoxy or carboxy groups) and analogous propyleneglycol ethers.


In some embodiments, water is added in addition to the at least one solvent in order to increase the crosslinking of the coating or film that is formed from the antireflective composition. So, in some embodiments, water may not be functioning solely as a solvent (or as a solvent at all), but may be functioning as a crosslinking agent. As will be shown in the examples, water is added in an amount that is up to about 10 weight percent of the total composition. In other embodiments, water is added up to about 8 weight percent of the total composition. In yet other embodiments, water is added up to about 5 weight percent of the total composition. And in even other embodiments, water is added up to about 3 weight percent of the total composition. In embodiments where water is added to the composition, crosslinking density increases and robustness is improved to nitrogen/oxygen RIE plasmas.


Solvents and solvent mixtures may be present in solution in an amount less than about 99.5% by weight. In some embodiments, the solvents or solvent mixtures may be present in solution in an amount from about 30% to about 99.5% by weight.


The solvents used herein may comprise any suitable impurity level, such as less than about 1 ppm, less than about 100 ppb, less than about 10 ppb, less than about 1 ppb, less than about 100 ppt, less than about 10 ppt and in some cases, less than about 1 ppt. These solvents may be purchased having impurity levels that are appropriate for use in these contemplated applications or may need to be further purified to remove additional impurities and to reach the less than about 10 ppb, less than about 1 ppb, less than about 100 ppt or lower levels that are becoming more desirable in the art of photolithography and etching.


Methods of Production

One contemplated method of making a composition and/or coating material contemplated herein comprises at least one high ratio inorganic compound, at least one absorbing compound, at least one condensation catalyst, at least one acid catalyst, an acid/water mixture, such as a nitric acid/water mixture, and at least one solvent to form a reaction mixture; and heating to a temperature about or above 40° C. or refluxing the reaction mixture to form the antireflective composition. The absorbing composition formed is then diluted with at least one solvent to provide coating solutions that produce films of various thicknesses.


Ethanol, PGMEA, TEOS, vinyltriethoxysilane (VTEOS) and PTEOS are added individually into a glass reaction vessel, along with a water/0.1N nitric acid mixture and butanol. PTEOS, VTEOS and TEOS polymerize in solution and the polymer/solution mixture is pumped into a second vessel for dilution with PGMEA, TMAN and 5N nitric acid, each of which is added individually. While the neat polymer is a solid, this polymer is made, used and/or sold in a liquid solution and there is no exposure to the solid polymer. The amount of polymer in solution is typically 1.4-5.1% by weight. The final solution is pumped through a filtration unit (which is optional) and either used on-site as intermediate in the production of another polymer or stored/packaged for commercial sale. FIGS. 2 and 3 show a contemplated method and reaction vessel arrangement. In FIG. 2, ethanol, PGMEA, TEOS, VTEOS, PTEOS, water/0.1N nitric acid and butanol are added individually into a glass reaction vessel with water and 0.1 N nitric acid added together, as part of step 210. In step 220, following the polymerization of PTEOS, VTEOS and TEOS, the polymer (in solution) is pumped into a second vessel for dilution with PGMEA, TMAN and 5N-nitric acid. Each one is added individually in their respective order. In step 230, while the neat polymer is solid, it is made, used and/or sold in a liquid solution and there is no exposure to the solid polymer The amount of polymer in solution is typically 1.4-5.1% by weight. In step 240, the final solution is pumped through a filtration unit (which is optional) and either used on-site as an intermediate in the production of another polymer or stored/packaged for commercial sale. FIG. 3 shows a reaction vessel arrangement 300 where the first set of components 310 are added 315 to a reaction vessel 320 and stirred with a stirrer 322. Reaction vessel 320 is also heated. The reaction mixture is then pumped through pump 330 into a dilution vessel 340 where a second set of components 350 are added 355 to the vessel 340. The mixture is stirred using a stirrer 342 and then pumped through a second pump 360 through a filtration unit 370 and then to storage, on-site use, or packaging for commercial distribution 380. Table 2 shows contemplated constituent amounts that may be utilized in compositions described herein.











TABLE 2







Ethanol
5.76-20.12%
(weight percent)


PGMEA
2.88-10.05%


Tetraethoxysilane (TEOS)
3.20-11.18%


Vinyltriethoxysilane (VTEOS)
0.54-1.91%


Phenyltriethoxysilane (PTEOS)
0.23-0.80%


Water
1.81-6.34%


0.1N nitric acid
0.11-0.38%


butanol
0.85-2.96%


PGMEA (second addition)
46.20-84.6%


1% tetramethylammoniumnitrate
0.69-0.20%


(TMAN)


5N nitric acid
0.07-0.02%









Applications

The coating materials and solutions disclosed herein are generally considered to be applicably in tri-layer applications, tri-layer structures and/or tri-layer patterning processes. Tri-layer structures are also contemplated herein that comprise an organic underlayer (first layer), antireflective compositions and/or films contemplated herein (second layer) and a photoresist material (third layer) that are coupled to one another. Contemplated coatings, compositions and solutions may be applied to various substrates and/or surfaces to form sacrificial layers, layered materials, layers used in semiconductor processing, or layers used in electronic components, depending on the to specific fabrication process, typically by conventional spin-on deposition techniques, vapor deposition or chemical vapor deposition. These techniques include a dispense spin, a thickness spin, and thermal bake steps, to produce an inorganic coating. Typical processes include a thickness spin of between 1000 and 4000 rpm for about 20 seconds and one to three bake steps at temperatures between 80° C. and 300° C. for about one minute each. Contemplated inorganic coatings exhibit refractive indices between about 1.3 and about 2.0 and extinction coefficients greater than approximately 0.03.


Substrates contemplated herein may comprise any desirable substantially solid material. Particularly desirable substrate layers would comprise films, glass, ceramic, plastic, metal or coated metal, or composite material. In some embodiments, the substrate comprises a silicon or germanium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via-wall or stiffener interface (“copper” includes considerations of bare copper and its oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers such as polyimide. In other embodiments, the substrate comprises a material common in the packaging and circuit board industries such as silicon, copper, glass, and another polymer. In yet other embodiments, the substrate comprises a material commonly used for “front end of line” (FEOL), such as gate poly patterning, and “back end of line” (BEOL) packaging, such as via or metal interconnect patterning.


Contemplated coating materials, coating solutions and films can be utilized are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic and semiconductor components, including hardmask layers, dielectric layers, etch stop layers and buried etch stop layers. These coating materials, coating solutions and films are quite compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane-based compounds, silicon-core compounds, novolac materials and dielectrics, organic dielectrics, and nanoporous dielectrics. Compounds that are considerably compatible with the coating materials, coating solutions and films contemplated herein are disclosed in PCT Application PCT/US01/32569 filed Oct. 17, 2001; PCT Application PCT/US01/50812 filed Dec. 31, 2001; U.S. application Ser. No. 09/538,276; U.S. application Ser. No. 09/544,504; U.S. application Ser. No. 09/587,851; U.S. Pat. Nos. 6,214,746; 6,171,687; 6,172,128; 6,156,812, U.S. Application Ser. No. 60/350,187 filed Jan. 15, 2002; and U.S. 60/347,195 filed Jan. 8, 2002 and U.S. Pat. No. 5,858,547, which are all incorporated herein by reference in their entirety.


The compounds, coatings, films, materials and the like described herein may be used to become a part of, form part of or form an electronic component and/or semiconductor component. As used herein, the term “electronic component” also means any device or part that can be used in a circuit to obtain some desired electrical action. Electronic components contemplated herein may be classified in many different ways, including classification into active components and passive components. Active components are electronic components capable of some dynamic function, such as amplification, oscillation, or signal control, which usually requires a power source for its operation. Examples are bipolar transistors, field-effect transistors, and integrated circuits. Passive components are electronic components that are static in operation, i.e., are ordinarily incapable of amplification or oscillation, and usually require no power for their characteristic operation. Examples are conventional resistors, capacitors, inductors, diodes, rectifiers and fuses.


Electronic components contemplated herein may also be classified as conductors, semiconductors, or insulators. Here, conductors are components that allow charge carriers (such as electrons) to move with ease among atoms as in an electric current. Examples of conductor components are circuit traces and vias comprising metals. Insulators are components where the function is substantially related to the ability of a material to be extremely resistant to conduction of current, such as a material employed to electrically separate other components, while semiconductors are components having a function that is substantially related to the ability of a material to conduct current with a natural resistivity between conductors and insulators. Examples of semiconductor components are transistors, diodes, some lasers, rectifiers, thyristors and photosensors.


Electronic components contemplated herein may also be classified as power sources or power consumers. Power source components are typically used to power other components, and include batteries, capacitors, coils, and fuel cells. Power consuming components include resistors, transistors, integrated circuits (ICs), sensors, and the like.


Still further, electronic components contemplated herein may also be classified as discreet or integrated. Discreet components are devices that offer one particular electrical property concentrated at one place in a circuit. Examples are resistors, capacitors, diodes, and transistors. Integrated components are combinations of components that that can provide multiple electrical properties at one place in a circuit. Examples are integrated circuits in which multiple components and connecting traces are combined to perform multiple or complex functions such as logic.


EXAMPLES
Example 1
Preparation of Antireflective Compositions (UVAS-A Formulation)

To the solvents of 480 g ethanol and 240 g PGMEA, the monomers of 266.62 g TEOS, 45.67 g VTEOS and 19.23 g PTEOS are individually added. While stirring a mixture of 9.04 g 0.1N nitric acid and 151.36 g water was poured, the reaction mixture was heated to reflux for 4 hours at 81° C. before cooling down for adding 70.72 g butanol and stirring at RT overnight. The polymer in solvents was diluted with 1884 g PGMEA, followed by adding 16.5 g of 1% TMAN (condensation catalyst) and 1.77 g 5N nitric acid. The target final formulation thickness determined at a 1500 rpm spin rate is adjusted through the amount of PGMEA added during the dilution step. The solution was filtered to obtain final product. The final solution is pumped through a filtration unit (which is optional) and either used on-site as intermediate in the production of another polymer or stored/packaged for commercial sale.


The polymer with the molecule weight of Mn˜1300 amu and Mw˜2000 amu, which were analyzed by Waters Alliance GPC System equipped with 2690 Separations Module, 2410 RI detector, column oven, and a set of three PL gel (from Polymer Laboratories) individual pore size columns (100 nm, 50 nm and 10 nm) containing highly cross-linked spherical polystyrene/divinyl-benzene matrix, THF as mobile phase at the flow rate of 1.0 ml/minute.


The solution consists of 3.66% solid and 4.29% water, 27.19% ethanol, 2.03% butanol and 66.19% PGMEA analyzed by HP 6890 GC System with a column (320 μm ID×60 m×1 μm film thickness) filled with Restek RTX-200) and utilized thermo-conductivity detector (TCD) at the temperature program 40° C. as initial, ramp up 20° C./minute to 300° C. The product was spun, the film was baked for thickness of 80 nm (n@193 nm=1.70, k@193 nm=0.15, checked by 1200 n&k analyzer; wet etch rate 17 A/minute with 500:1 of DHF; dry etch rate 3390 A/minute with oxide recipe of fluorocarbon etch process (The fluorocarbon etch recipe is: pressure=45 mT, power=1500 W, etchant gas flows=C4F8/CO/Ar/O2=10/50/200/5 (sccm)) and 150 A/minute with N2+O2 etch recipe (N2+O2 recipe: pressure=20 mT, power=1000 W, O2/N2: 30 sccm/120 sccm, time=30 sec) at the plasma etch tool TEL Unity 2). Both fluorocarbon etch and N2+O2 etch are done using the TEL Unity 2 etch tool.


Table 3 shows product information related to those components in the examples and in Table 2, as mentioned earlier.










TABLE 3





Materials
Vendors







Ethanol
Pharmco-AAPER (99.5% Ethanol + 0.5% Toluene)


PGMEA
General Chemical (Exceeds ACS grade)


TEOS
Honeywell


VTEOS
Gelest, Inc or Aldrich Chemical


PTEOS
Ultra Pure Solution, Inc


Water
D.I water In house


0.1N nitric acid
Made in house with 70% nitric acid from



General Chemicals


Butanol
Burdich & Jackson (ULSI grade)


PGMEA
same above


1% TMAN
Made in house with 96% TMAN from Aldrich



Chemicals


acetic acid
General Chemicals


5N nitric acid
Made in house with 70% nitric acid from General



Chemicals


DHF 500:1
Diluted in house from 49% HF manufactured by



General Chem.


THF
Burdich & Jackson


choline hydroxide
Aldrich


TMAF
Aldrich


Betain
Fluka


5F-PTEOS
Gelest


Ben-TEOS
Gelest


NCS-TEOS
Gelest


DEPE-TEOS
Gelest









Simulated reflectance data (% R) at 193 nm for a multi-layer patterning system (dual BARC) is found in FIG. 4, which is collected from a tri-layer component comprising a novolac resin as the organic underlayer. The tri-layer component, in this embodiment, comprises a silicon layer 450, a novolac-based polymer layer 410 (such as ACCUFLO from Honeywell International Inc) having a thickness of about 250 nm, a UVAS coating material as contemplated herein 420 having a variable thickness (100 Å to 1000 Å) and an ArF photoresist 430. Optical properties at 193 nm were found to be: UVAS (n=1.7 and k=1.4); novolac (n=1.45 and k=0.6); photoresist (n=1.7 and k is zero). The n and k simulation is normal incident light only with non-polarized light. The simulation assumes an infinity thick ArF photoresist.


It should be noted for the purposes of this disclosure that the n and k measurement technique used is not exact. For the purpose of this disclosure, the results are adequate as the appropriate trends are observed. A detailed thickness study may be required utilizing what is termed a dual-reflectance fitting algorithm across two different thickness values, which is a more lengthy process. All of the results reported within this disclosure are from what is termed a singe reflectance algorithm which by nature of the technique is less precise. Variations up to 0.04 in both the n and k values should be expected with a single reflectance algorithm.


Example 2
Shelf Life Studies of Contemplated Compositions

After production the product from Example 1 was checked with standard procedure of solution QC (by GPC for molecule weight, GC for liquid components and Liquid Partical Counter for the particits) and film QC (by Thermowave for thickness and by DHF for wet etch rate). The product QC data were considered at aging day-0. The material was then aged at either room temperature or 5° C. in unopened new bottles. The aged material was then checked with same QC procedure at day-15, day-30, etc. to see the changing of QC items in aging days.



FIGS. 5-7 (FIG. 5 are A45 and FIGS. 6-7 are A80) show data collected from shelf life studies of contemplated compositions disclosed herein. For reference, UVAS A45 is from the UVAS-A formulation found in Example 1 and Tables 4-6 having a thickness of 45 nm deposited at a spin-on rate of 1500 RPM and UVAS A80 is from the UVAS-A formulation found in Example 1 and Tables 7-8 having a thickness of 80 nm deposited at a spin-on rate of 1500 RPM.


Example 3
Reduced N&K at 193 Nm

To the solvents of 30 g ethanol and 15 g PGMEA, 17.18 g TEOS, 2.85 g VTEOS and 0.61 g PTEOS monomers were individually added. While stirring a mixture of 0.57 g 0.1N nitric acid and 6.76 g water was poured, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down. 4.42 g butanol was added and the mixture was stirred at room temperature overnight. The resulting polymer was analyzed by the same GPC method as that in sample 1 and had a molecular weight of Mn=996 amu, Mw=1359 amu.


5.21 g of the above solution was diluted with 16.15 g of PGMEA, the material was spun, the film was baked. The film thickness was measured to be 78 nm with n@193 nm=1.6632, k@193 nm-0.0824 (checked with the same n&k tool as in Example 1).


Example 4
Reduction of Silicon % Down to 35% by Weight

To the solvents of 480 g ethanol and 240 g PGMEA, 89.99 g TEOS, 197.92 g VTEOS and 30.77 g PTEOS monomers were individually added. While stirring a mixture of 9.04 g 0.1N nitric acid and 151.36 g water was poured, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down. 70.72 g butanol was added and the mixture was stirred at room temperature overnight. (the polymer with Mn=745 amu, Mw-929 amu analyzed by the same GPC system as mentioned in previous examples). 743.6 g of the solution above was diluted with 1115.4 g PGMEA, followed by adding a condensation catalyst of 9.33 g 1% TMAN and 1.03 g 5N nitric acid. The diluted solution was filtered to collect the final product. After spin and bake the film was measured to have a thickness of 70 nm and a wet etch rate was 1 A/minute in DHF 500:1. The plasma etch rate was 1394 A/minute with an oxide recipe and 300 A/minute with a N2+O2 etch recipe at the same tool as used above in other examples.


Example 5
System Designed to Absorb at 248 Nm and 365 Nm

In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol and 53 grams deionized water were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80° C. and at 180° C. for one minute each. The final polymer has a molecular weight of Mw=1200 amu. Optical properties were measured using the same as in Example 1. The film thickness was 1635 Å. At 248 nm, the refractive index (n) was 1.373 and the extinction coefficient (k) was 0.268. In addition, TESAC also has an absorption of 365 nm and at the loading stated in this example, the n&k values at 365 nm are 1.55 and 0.06 respectively. The extinction coefficient at 248 nm and 365 nm can be lowered/increased by reducing/increasing the amount of TESAC (9-anthracene carboxy-methyl triethoxysilane) added.


Example 6
To Decrease N&K by Replacing PTEOS with 5F-PTEOS

To the solvents of 30 g ethanol and 15 g PGMEA, 17.23 g TEOS, 2.85 g VTEOS and 0.76 g pentafluorophenyltriethoxysilane (5F-PTEOS) monomers were individually added. While stirring a mixture of 0.57 g 0.1N nitric acid and 6.76 g water was poured, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down. 4.42 g butanol was added and the mixture was stirred at room temperature overnight. The resulting polymer has a molecular weight of Mn=984 amu, Mw=1372 amu analyzed by the same GPC system as mentioned in earlier examples. The polymer in the solvent mixture was diluted with 218 g of PGMEA, the solution was spun, the film was baked and had a measured thickness of 53 nm (n@193 nm=1.61; k@193 nm=0.03 by the same n&k tool above).


Example 7
Non-PTEOS Containing (TEOS/VTEOS Only)

To the solvents of 30 g ethanol and 15 g PGMEA the monomers of 16.66 g TEOS, 3.81 g VTEOS only were individually added. While stirring a mixture of 0.57 g 0.1N nitric acid and 6.76 g water was added, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down for adding 4.42 g butanol and stirring at room temperature overnight. (the polymer was analyzed and shown to have molecular weights of Mn 1021 amu and Mw 1349 amu by the same GPC system above).


8.18 g of the solution above was diluted with 13.9 g of PGMEA. The diluted solution was spun, the film was baked for the thickness of 70 nm (n@193 nm=1.62; k@193 nm=0.03 by the same n&k tool above)


Example 8
Transparent Systems (TEOS Only) with Adjusted Water Content

In this example, TEOS is used, along with incorporating a high water content was used to increase the crosslink density. Two contemplated compositions (low water and increased water) were produced, and the difference between the two compositions was the amount of water utilized, as shown in Table 9 (low water composition) and Table 10 (increased water composition) below:












TABLE 9









IPA
445.560



TEOS
243.425



0.1M Nitric Acid
5.599



DI Water
66.860



Total wt silanes
243.425



Total wt
761.444




















TABLE 10









IPA
445.560



TEOS
243.425



0.1M Nitric Acid
5.599



DI Water
120.348



Total wt silanes
243.425



Total wt
814.932










The low water composition is prepared as follows:


To the solvent of 445.56 g IPA, the monomer of 243.425 g TEOS (or other monomers at certain ratio) is added. While stirring a mixture of 5.599 g 0.1N nitric acid and 66.86 g water, the reaction mixture was heated to 50° C. for 4 hours before cooling down and stirring at RT overnight. The polymer in solvents was diluted with 2284.332 g ethanol: 2-heptanone (70:30 mix). This produced a low pH product (pH˜2.5). For a higher pH (pH˜4) product, it was manufactured by adding 0.1056 g of aminopropyl TEOS (APTEOS) (base). The solution was filtered to obtain final product. The final solution is pumped through a filtration unit (which is optional) and either used on-site as an intermediate in the production of another polymer or stored/packaged for commercial sale. (Analysis: solid contain 3.02%; 1.35% water, 60.38% ethanol, 14.08% IPA and 21.18% 2-Heptanone by GC) For this composition, the n was measured at 1.50 and k was measured at 0. For a film baked at 250° C., the wet etch rate or WER for a 500:1 BOE, as shown in Angstroms/minute, was measured as 3760. The PTEOS oxide wet etch rate was 30 Angstroms/minute. Molecular weights of Mn 1303 amu and Mw 1809 amu were determined by the same GPC system as mentioned earlier.


The high water composition is prepared as follows:


To the solvent of 445.56 g IPA, the monomer of 243.425 g TEOS (or other monomers at certain ratio) is added. While stirring a mixture of 5.599 g 0.1N nitric acid and 120.348 g water, the reaction mixture was heated to 50° C. for 4 hours before cooling down and stirring at RT overnight. The polymer in solvents was diluted with 1214.248 g ethanol: 2-heptanone (70:30 mix). This produced a low pH product (pH˜2.5). For a higher pH (pH˜4) product, it was manufactured by adding 0.0912 g of APTEOS (base). The solution was filtered to obtain final product. The final solution is pumped through a filtration unit (which is optional) and either used on-site as an intermediate in the production of another polymer or stored/packaged for commercial sale. (Analysis: solid contain 4.07%; 4.39% water, 54.91% ethanol, 22.39% IPA and 14.24% 2-heptanone by GC) For this composition, the n was measured at 1.54 and k was measured at 0. For a film baked at 250° C., the wet etch rate or WER for a 500:1 BOE, as shown in Angstroms/minute, was measured as 300. The PTEOS oxide wet etch rate was 30 Angstroms/minute. Molecular weights of Mn 2050 amu and Mw 3362 amu were determined by the same GPC system as mentioned earlier.


In this example, the components come from the following sources:
















Components
Vendors









IPA
Ultrapure



TEOS
Honeywell



Water
D.I water In house



0.1N nitric
Made in house with 70% nitric acid from General



acid
Chemicals



Ethanol
Pharmco-AAPER (99.5% Ethanol + 0.5% Toluene)



2-Heptanone
Aldrich



APTEOS
Aldrich










In both cases to increase the pH to ˜4 to further increase cross-linking density, APTEOS was added. In the “less” or “low” water composition, 438 ppm of APTEOS was added. In the “high” or “more” water composition, 375 ppm APTEOS was added. Versions without any added APTEOS are referred to as “pH 2.5” in Table 11. Note that for a silicate only system the film is transparent (i.e. k=0). As shown in Table 11, the compositions with more or increased water content provided greatly reduced plasma etch rates. PTEOS oxide plasma etch rates were 2.91 Angstroms/second. The etch recipe utilized for this etch data was 20 mTorr, 1000 W, N2/O2=120/30 sccm.
















TABLE 11






ETCH




NONUNI.
NONUNI.



TIME
TH. PRE-
TH. POST-
ETCH
ETCH
PRE-ETCH
POST-


FILM
(SEC)
ETCH (Å)
ETCH (Å)
RATE (Å/MIN)
RATE (Å/SEC)
(%)
ETCH (%)






















Less Water
15
833
739
373.98
6.23
0.97
0.98


pH = 2.5


Less Water
15
812
733
316.57
5.28
0.97
0.98


pH = 4


More Water
15
797
757
159.57
2.66
0.97
0.98


pH = 2.5


More Water
15
826
781
178.26
2.97
0.97
0.87


pH = 4









Example 9
System with No VTEOS, Contains Only PTEOS and TEOS

To the solvents of 600 g ethanol and 300 g PGMEA, 395.77 g TEOS, and 24.04 g PTEOS monomers were individually added. While stirring a mixture of 11.3 g 0.1N nitric acid and 189.2 g water was poured, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down. 88.4 g butanol was added and the mixture was stirred at room temperature overnight. The resulting polymer had a molecular weight of Mn=1828 amu, Mw=3764 amu, which was analyzed by the same GPC system above.


1635 g of the polymer solution was diluted with 6865 g PGMEA, followed by adding 21.34 g 1% TMAN (condensation catalyst) and 2.0 g 5N nitric acid. The diluted solution was filtered to collect the final product. After spin and bake the film had a thickness of 31 nm and wet etch rate was 63 A/minute in DHF 500:1.


Example 10
Different Condensation Catalysts

To the solvents of 480 g ethanol and 240 g PGMEA, 266.62 g TEOS, 45.67 g VTEOS and 19.23 g PTEOS monomers (or other monomers at specific ratios) were individually added. While stirring a mixture of 9.04 g 0.1N nitric acid and 151.36 g water was poured, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down. 70.72 g butanol was added to the reaction mixture and stirred at room temperature overnight. The resulting polymer was measured to have a molecular weight of Mn=1153 amu, Mw=1802 amu, which was analyzed by the same GPC system above.

    • A) To 40 g of the polymer solution, 58.8 g PGMEA, the catalyst, 0.46 g of 0.45% choline hydroxide in water and 0.5 g acetic acid were added for final product. (the polymer with Mn=1073, Mw-1768 analyzed by the same GPC system above). The solution was filtered and spun, the film was baked for the thickness of 73 nm and wet etch rate was 82 A/minute in 500:1 of DHF, plasma etch rate 203 A/minute with N2+O2 etch recipe at the same etch tool above.
    • B) To 40 g of the polymer solution, 58.8 g PGMEA, the catalyst, 0.08 g of 2.5% tetramethylammoniumformate (TMAF) in water and 0.5 g acetic acid were added for final product. (the polymer with Mn=1112, Mw=1805 analyzed by the same GPC system above) The solution was filtered and spun, the film was baked for the thickness of 74 nm and wet etch rate was 71 A/minute in 500:1 of DHF, plasma etch rate 209 A/minute with N2+O2 etch recipe at the same etch tool above.
    • C) To 40 g of the polymer solution, 58.8 g PGMEA, the catalyst, 0.21 g of 1% betain in water and 0.5 g acetic acid were added for final product. (the polymer with Mn=1120, Mw=1789 analyzed by the same GPC system above) The solution was filtered and spun, the film was baked for the thickness of 74 nm and wet etch rate was 85 A/minute in 500:1 of DHF, plasma etch rate 215 A/minute with N2+O2 etch recipe at the same etch tool above.


Example 11
Replace PTEOS with Benzyltriethoxysilane (Ben-TEOS)

To the solvents of 30 g ethanol and 15 g PGMEA, 17.23 g TEOS, 2.85 g VTEOS and 0.59 g Ben-TEOS monomers were individually added. While stirring a mixture of 0.57 g 0.1N nitric acid and 6.76 g water was poured, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down. 4.42 g butanol was added and the mixture was stirred at room temperature overnight. The resulting polymer had a molecular weight of Mn=956 amu, Mw=1386 amu, which was analyzed by the same GPC system above). The polymer in the solvent mixture was diluted with 123 g of PGMEA, the solution was spun, the film was baked and measured to have a thickness of 81 nm (n=@193 nm 1.63; k@193 nm=0.08 by the same n&k tool above).


Example 12
Decrease N&K by Introducing Thiocyanapropyltriethoxysilane (NCS-TEOS)

To the solvents of 30 g ethanol and 15 g PGMEA, 16.46 g TEOS, 2.85 g VTEOS, 0.72 g PTEOS monomers were individually added along with 0.79 g NCS-TEOS. While stirring a mixture of 0.57 g 0.1N nitric acid and 6.76 g water was poured, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down. 4.42 g butanol was added and the mixture was stirred at room temperature overnight. The resulting polymer had a molecular weight of Mn=900 amu, Mw=1300 amu, which was analyzed by the same GPC system above. The polymer in the solvent mixture was diluted with 123 g of PGMEA the solution was spun, the film was baked and shown to have a thickness of 85 nm (n@ 193 nm=1.65; k@ 193 nm=0.09 by the same n&k tool above).


Example 13
To Decrease N&K by Using Diethylphosphotoethyltriethoxysilane (DEPE-TEOS)

To the solvents of 30 g ethanol and 15 g PGMEA, 16.25 g TEOS, 2.85 g VTEOS, 0.962 g PTEOS and 0.99 g DEPE-TEOS monomers were individually added. While stirring a mixture of 0.57 g 0.1N nitric acid and 6.76 g water was poured, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down. 4.42 g butanol was added and the mixture was stirred at room temperature overnight. The resulting polymer had a molecular weight of Mn 925 amu, Mw=135 amu analyzed by the same GPC system above. The polymer in the solvent mixture was diluted with 122 g of PGMEA, the solution was spun, the film was baked and shown to have a thickness of 85 nm (n@193 nm=1.60; k@193 nm=0.10 by the same n&k tool above).


Example 14
Reduction in TMAN Content

To the solvents of 60 g ethanol and 30 g PGMEA, 33.33 g TEOS, 5.71 g VTEOS and 2.40 g PTEOS monomers were individually added. While stirring a mixture of 1.13 g 0.1N nitric acid and 18.92 g water was poured, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down. 8.84 g butanol was added to the reaction mixture and stirred at room temperature overnight. The resulting polymer was measured to have a molecular weight of Mn=1121 amu, Mw=1723 amu analyzed using the same GPC system as mentioned above.


Option 1:

    • To 17.94 g of the polymer solution, 26.01 g PGMEA, 0.153 g of 1% TMAN in water solution, 0.09 g of 1N nitric acid were added for final product. The molecular weights of the polymer was measured to be Mn=1112 amu and Mw=1717 amu using the same GPC system as described above. The solution was filtered and spun onto a Si wafer to form a film. The film was baked at 250° C. for 90 s resulting in a thickness of 82 nm (n@193 nm=1.6608; k@193 nm=0.1310). The films' 500:1 DHF wet etch rate was 12 custom character/minute. Its plasma etch rate was 3127 custom character/minute using the previously defined fluorocarbon etch process etch recipe.


Option 2:

    • To 17.85 g of the polymer solution, 25.88 g PGMEA, 0.076 g of 1% TMAN in water solution, 0.041 g of 1N nitric acid were added for final product. The molecular weights of the polymer was measured to be Mn=1112 amu and Mw=1735 amu using the same GPC system as described above. The solution was filtered and spun onto a Si wafer to form a film. The film was baked at 250° C. for 90 s resulting in a thickness of 84 nm (n@193 nm=1.6665; k@193 nm=0.1460). The films' 500:1 DHF wet etch rate was 10 custom character/minute. Its plasma etch rate was 3114 custom character/minute using the previously defined fluorocarbon etch process etch recipe.


Example 15
A Two Amine Salt System (TMAN and APTEOS-Triflate)

To the solvents of 60 g ethanol and 30 g PGMEA, 33.33 g TEOS, 5.71 g VTEOS and 2.40 g PTEOS monomers were individually added. While stirring a mixture of 1.13 g 0.1N nitric acid and 18.92 g water was poured, the reaction mixture was heated to reflux at 81° C. for 4 hours before cooling down. 8.84 g butanol was added to the reaction mixture and stirred at room temperature overnight. The resulting polymer was measured to have a molecular weight of Mn=1121 amu, Mw=1723 amu analyzed using the same GPC system as mentioned above. The APTEOS-triflate stock solution was freshly prepared from 4.89 g 20% triflic acid in water (Aldrich) and 1.425 g of APTEOS (APTEOS 22.6% by weight in the stock solution).


Option 1:

    • To 21.45 g of the polymer solution, 31.12 g PGMEA, 0.092 g of 1% TMAN in water solution, 0.049 g of 1N nitric acid and 0.0066 g APTEOS-triflate stock solution mentioned above were added for final product. The molecular weights of the polymer was measured to be Mn=1165 amu and Mw=1805 amu using the same GPC system as described above. The solution was filtered and spun onto a Si wafer to form a film. The film was baked at 250° C. for 90 s resulting in a thickness of 84 nm (n@193 nm=1.6764; k@193 nm=0.1600). The films' 500:1 DHF wet etch rate was 15 custom character/minute. Its plasma etch rate was 3369 custom character/minute using the previously defined fluorocarbon etch process etch recipe.


Option 2:

    • To 21.45 g of the polymer solution, 31.12 g PGMEA, 0.076 g of 1% TMAN in water solution, 0.041 g of 1N nitric acid and 0.0132 g APTEOS-triflate stock solution mentioned above were added for final product. The molecular weights of the polymer was measured to be Mn=1149 amu and Mw=1784 amu using the same GPC system as described above. The solution was filtered and spun onto a Si wafer to form a film. The film was baked at 250° C. for 90 s resulting in a thickness of 85 nm (n@193=1.6919; k@193=0.1876). The films' 500:1 DHF wet etch rate was 19 custom character/minute. Its plasma etch rate was 3382 custom character/minute using the previously defined fluorocarbon etch process etch recipe.


Example 16
Method of Use of UVAS in a Tri-Layer Patterning Stack

The substrate film or films to be patterned is first coated with a film of organic under layer (OUL) material. Deposition of OUL occurs using a typical film deposition process most typically being a spin coat process. The coated OUL film is then baked to a temperature ranging from 200-300° C. The OUL thickness is chosen to completely fill and planarize any topography that may exist. Typically the OUL thickness is on the order of 200 to 300 nm. Note that tri-layer patterning can be used in applications where substrate topography may or may not be present. Some basic OUL material properties are:

    • high carbon to oxygen (C/O) composition ratio for improved critical dimension control during plasma etch
    • absorbing @ target wavelength (k>0.25) refractive index @ target wavelength (n<1.7)
    • mechanically strong and rigid so that line collapse does not occur after etch patterning
    • easily etched and removed using an oxidizing or reducing plasma etch chemistry
    • provides defect free fill and planarization of narrow, high aspect ratio features such as slots, holes, and spaces.
    • thermally stable in that no condensate is out gassed during the subsequent films deposition processes


Although novolac resins have been considered and used as an OUL material typically they have been shown to lack mechanical robustness and also have too low of a C/O ratio to be used for state-of-the art ArF patterning. An example of a commercially available OUL is HM8005 from JSR Inc. HM8005 is a naphthalene-based polymer system with a n & k @ 193 nm of 1.5 and 0.29 respectively. Its reported plasma etch rate relative to a novolac resin is 0.85.


Following deposition of the OUL film the next step in tri-layer patterning is to deposit the SiO2 based UV absorbing middle layer film (UVAS) film. The material properties for contemplated embodiments of UVAS have already been described in this specification. The thickness of UVAS is selected based on the substrate reflectance and required plasma etch margin to the substrate films. Three UVAS formulations exist of differing final film thickness. All thickness values are measured at 1500 rpm spin coat for 30 s followed by a bake to 250° C. for 90 s.

    • UVAS-A25 (25 nm)—first reflectance minima for 193 nm incident light
    • UVAS-A45 (45 nm)—first reflectance maxima for 193 nm incident light
    • UVAS-A80 (80 nm)—second reflectance minima for 193 nm incident light


UVAS is deposited directly onto the OUL film using a typical film deposition process most typically being a spin coat process. The thickness of UVAS is adjusted through changes in the spin speed. The UVAS film is then baked to a temperature between 200-250° C.


The final film deposition step for tri-layer patterning is deposition of the 193 nm absorbing photoresist (ArF PR). Typical ArF PR polymers are acrylate, methacrylate or generally organo-acrylate based polymer and co-polymer systems containing respective photoacid generators (PAGs) and quencher chemistries. Typically these ArF PRs are positive tone but can be negative tone as well. An extremely brief list of commercially available ArF PRs are: JSR AR2459J, JSR AR1863J, ShinEtsu SAIL-X123, and TOK P-6111. Each of the PR manufacturers offers a large selection of ArF PRs differing in exposure speed, end use application, etch resistance, and contrast. The PRs mentioned above only captures a sliver of what is available for use in the IC industry.


The ArF PR is deposited directly onto UVAS using a typical film deposition process most typically being a spin coat process. The thickness of the ArF PR is adjusted through changes in the spin speed. The ArF PR film is then baked to a temperature of approximately 90-130° C. Final ArF PR film thickness ranges from 250 nm to 100 nm depending on the application and dimensions to be patterned.


The film stack for tri-layer patterning is now complete. In the following steps, the ArF PR is illuminated by 193 nm light through a mask to expose the photoresist. The ArF PR is then baked and developed leaving behind patterned ArF PR features. The patterned dimensions of the ArF PR are then transferred using a plasma etch process first into the underlying UVAS film and then into the bottom OUL film using the respective plasma etch chemistries mentioned in the patent. The recipes presented are examples only as many variations exist in both the chemistries as well as the etch tool model and configuration.


During the etching of the OUL film the ArF PR is etched away thus making the UVAS film the plasma etch mask, receives direct exposure the plasma ion flux, during the OUL etch. Once the OUL etch is complete the pattern is now transferred into the substrate film(s). The plasma etch chemistry used to etch the substrate film or film stack depends on the type of substrate being etched. For example, etching SiO2, Al, Si layers would all use a different type plasma etch chemistry. During the substrate etch the UVAS layer is removed thus now making the OUL the etch mask, the OUL receives direct exposure of the plasma ion flux. Once the pattern is transferred into the substrate film or film stack the OUL layer is removed using either a wet (selective wet etch chemistry) or dry (plasma, super critical CO2) strip process. The patterned feature into the original ArF PR layer has now been successfully transferred into the substrate film or film stack and the patterned substrate film or film stack is now ready for the next manufacturing step.


Thus, specific embodiments and applications of compositions and methods to produce compositions, coatings and films for tri-layer applications, methods of productions and uses thereof have been disclosed. It should be apparent, however, to those skilled in the art that many more modifications besides those already described are possible without departing from the inventive concepts herein. The inventive subject matter, therefore, is not to be restricted except in the spirit of the appended claims. Moreover, in interpreting both the specification and the claims, all terms should be interpreted in the broadest possible manner consistent with the context. In particular, the terms “comprises” and “comprising” should be interpreted as referring to elements, components, or steps in a non-exclusive manner, indicating that the referenced elements, components, or steps may be present, or utilized, or combined with other elements, components, or steps that are not expressly referenced.

Claims
  • 1. A composition for use in tri-layer applications, the composition having a matrix and comprising: a formulated polymer comprising at least one type of silicon-based moiety forming the matrix of the polymer, a plurality of vinyl groups substituent to the matrix of the polymer, and a plurality of phenyl groups substituent to the matrix of the polymer,at least one heat-activated condensation catalyst, andat least one solvent, wherein a cured film formed from the composition has a high etch selectivity as compared with an adjacent film.
  • 2. The composition of claim 1, wherein the at least one type of silicon-based moiety comprises at least one high ratio inorganic compound, at least one absorbing compound, at least one adhesion promoter or a combination thereof.
  • 3. The composition of claim 1, wherein the at least one type of silicon-based moiety comprises at least one alkoxy moiety.
  • 4. The composition of claim 3, wherein the at least one alkoxy moiety comprise tetraethoxysilane, phenyltriethoxysilane, vinyltriethoxysilane or a combination thereof.
  • 5. The composition of claim 1, wherein the polymer comprises at least 30 weight percent of silicon.
  • 6. The composition of claim 5, wherein the polymer comprises at least 40 weight percent of silicon.
  • 7. The composition of claim 1, wherein at least part of the plurality of vinyl groups come from vinyltriethoxysilane.
  • 8. The composition of claim 1, wherein at least part of the plurality of phenyl groups come from phenyltriethoxysilane.
  • 9. The composition of claim 1, further comprising at least one additional adhesion promoter.
  • 10. The composition of claim 1, further comprising at least one absorbing compound.
  • 11. The composition of claim 9, wherein the at least one additional adhesion promoter comprises a base.
  • 12. The composition of claim 11, wherein the at least one adhesion promoter comprises nitrogen.
  • 13. The composition of claim 11, wherein the at least one adhesion promoter comprises phosphorus.
  • 14. The composition of claim 12, wherein the at least one adhesion promoter comprises an amine base.
  • 15. The composition of claim 14, wherein the at least one adhesion promoter comprises ammonium, pyridine, aniline, TMAH, CTAH, TBAH, APTEOS or a combination thereof.
  • 16. The composition of claim 12, wherein the at least one adhesion promoter comprises at least one amine salt.
  • 17. The composition of claim 16, wherein the at least one amine salt comprises a weak acid/strong base or a strong acid/weak base.
  • 18. The composition of claim 11, the at least one adhesion promoter comprises a neutral compound.
  • 19. The composition of claim 11, wherein the at least one condensation catalyst comprises at least one amine salt.
  • 20. The composition of claim 19, wherein the at least one amine salt comprises TMAN.
  • 21. The composition of claim 1, further comprising at least one pH modifier.
  • 22. The composition of claim 21, wherein the at least one pH modifier comprises an acid.
  • 23. The composition of claim 22, wherein the acid comprises nitric acid.
  • 24. The composition of claim 1, wherein the at least one solvent comprises PGMEA, ethanol, butanol, water or a combination thereof.
Parent Case Info

This application is a United States Utility application that claims priority to U.S. Provisional Application 60/903,466 filed on Feb. 26, 2007 and U.S. Provisional Application 60/949,392 filed on Jul. 12, 2007, which are both commonly-owned and incorporated herein in their entirety by reference.

US Referenced Citations (602)
Number Name Date Kind
3615272 Collins et al. Oct 1971 A
3635529 Nass Jan 1972 A
3784378 Gramas Jan 1974 A
3817902 Gomyo et al. Jun 1974 A
3873361 Franco et al. Mar 1975 A
3884702 Koshimo et al. May 1975 A
4053313 Fan Oct 1977 A
4191571 Nonogaki et al. Mar 1980 A
4257826 Matalone, Jr. Mar 1981 A
4290896 Gordon et al. Sep 1981 A
4299938 Green et al. Nov 1981 A
4308371 Tanaka et al. Dec 1981 A
4312970 Gaul, Jr. Jan 1982 A
4328262 Kurahashi et al. May 1982 A
4349609 Takeda et al. Sep 1982 A
4388449 Bonnet et al. Jun 1983 A
4399255 Smith et al. Aug 1983 A
4413052 Green et al. Nov 1983 A
4419437 Noonan et al. Dec 1983 A
4423135 Chen et al. Dec 1983 A
4430153 Gleason et al. Feb 1984 A
4434127 Baile Feb 1984 A
4483107 Tomoyori et al. Nov 1984 A
4510283 Takeda et al. Apr 1985 A
4513132 Shoji et al. Apr 1985 A
4515828 Economy et al. May 1985 A
4546017 Flackett et al. Oct 1985 A
4563241 Tanaka et al. Jan 1986 A
4587138 Yau et al. May 1986 A
4595599 Brown et al. Jun 1986 A
4600685 Kitakohji et al. Jul 1986 A
4603168 Sasaki et al. Jul 1986 A
4617252 Cordes, III et al. Oct 1986 A
4620986 Yau et al. Nov 1986 A
4626556 Nozue et al. Dec 1986 A
4657843 Fukuyama et al. Apr 1987 A
4657965 Watanabe et al. Apr 1987 A
4663414 Estes et al. May 1987 A
4670299 Fukuyama et al. Jun 1987 A
4676867 Elkins et al. Jun 1987 A
4687216 Kawamoto et al. Aug 1987 A
4702990 Tanaka et al. Oct 1987 A
4723978 Clodgo et al. Feb 1988 A
4745169 Sugiyama et al. May 1988 A
4753855 Haluska et al. Jun 1988 A
4756977 Haluska et al. Jul 1988 A
4806504 Cleeves Feb 1989 A
4808653 Haluska et al. Feb 1989 A
4816049 Hata et al. Mar 1989 A
4822697 Haluska et al. Apr 1989 A
4822718 Latham et al. Apr 1989 A
4826943 Ito et al. May 1989 A
4831188 Neckers May 1989 A
4839274 Logan Jun 1989 A
4847152 Jabs et al. Jul 1989 A
4849296 Haluska et al. Jul 1989 A
4855199 Bolon et al. Aug 1989 A
4863827 Jain et al. Sep 1989 A
4863829 Furuta et al. Sep 1989 A
4863833 Fukuyama et al. Sep 1989 A
4876165 Brewer et al. Oct 1989 A
4885262 Ting et al. Dec 1989 A
4895914 Saitoh et al. Jan 1990 A
4898907 Haluska et al. Feb 1990 A
4904721 Hanaoka et al. Feb 1990 A
4910122 Arnold et al. Mar 1990 A
4910255 Wakabayashi et al. Mar 1990 A
4911992 Haluska et al. Mar 1990 A
4913846 Suzuki et al. Apr 1990 A
4921317 Suzuki et al. May 1990 A
4921778 Thackeray et al. May 1990 A
4923638 Ohno et al. May 1990 A
4925772 Quella et al. May 1990 A
4927732 Merrem et al. May 1990 A
4935320 Rohde et al. Jun 1990 A
4935583 Kyle Jun 1990 A
4940651 Brown et al. Jul 1990 A
4942083 Smith, Jr. Jul 1990 A
4943511 Lazarus et al. Jul 1990 A
4950583 Brewer et al. Aug 1990 A
4954414 Adair et al. Sep 1990 A
4970134 Bronstert et al. Nov 1990 A
4973510 Tanaka Nov 1990 A
4973526 Haluska Nov 1990 A
4981530 Clodgo et al. Jan 1991 A
4988514 Fukuyama et al. Jan 1991 A
4999397 Weiss et al. Mar 1991 A
5004660 Van Andel et al. Apr 1991 A
5008320 Haluska et al. Apr 1991 A
5009669 Jollenbeck et al. Apr 1991 A
5009809 Kosin et al. Apr 1991 A
5009810 Wason et al. Apr 1991 A
5013608 Guest et al. May 1991 A
5024823 Gokcek Jun 1991 A
5026624 Day et al. Jun 1991 A
5034189 Cox et al. Jul 1991 A
5037580 Garcia et al. Aug 1991 A
5043789 Linde et al. Aug 1991 A
5045570 Mooney et al. Sep 1991 A
5045592 Weiss et al. Sep 1991 A
5049414 Kato Sep 1991 A
5055372 Shanklin et al. Oct 1991 A
5055376 Saeva Oct 1991 A
5059448 Chandra et al. Oct 1991 A
5059500 Needham et al. Oct 1991 A
5063134 Horiguchi et al. Nov 1991 A
5063267 Hanneman et al. Nov 1991 A
5077085 Schnur et al. Dec 1991 A
5079600 Schnur et al. Jan 1992 A
5100503 Allman et al. Mar 1992 A
5102695 Guest et al. Apr 1992 A
5104692 Belmares Apr 1992 A
5106534 Wason et al. Apr 1992 A
5112728 Tanji et al. May 1992 A
5116715 Roland et al. May 1992 A
5126289 Ziger Jun 1992 A
5137655 Kosin et al. Aug 1992 A
5140396 Needham et al. Aug 1992 A
5152834 Allman Oct 1992 A
5153254 Chen Oct 1992 A
5166093 Grief Nov 1992 A
5173368 Belmares Dec 1992 A
5194364 Abe et al. Mar 1993 A
5198518 Yamamoto et al. Mar 1993 A
5199979 Lin et al. Apr 1993 A
5212046 Lamola et al. May 1993 A
5212218 Rinehart May 1993 A
5219788 Abernathey et al. Jun 1993 A
5239723 Chen Aug 1993 A
5250224 Wason et al. Oct 1993 A
5252340 Honeycutt Oct 1993 A
5252618 Garcia et al. Oct 1993 A
5256510 Bugner et al. Oct 1993 A
5262201 Chandra et al. Nov 1993 A
5262468 Chen Nov 1993 A
5272026 Roland et al. Dec 1993 A
5272042 Allen et al. Dec 1993 A
5278010 Day et al. Jan 1994 A
5300402 Card, Jr. et al. Apr 1994 A
5302198 Allman Apr 1994 A
5302455 Wason et al. Apr 1994 A
5302849 Cavasin Apr 1994 A
5317044 Mooney et al. May 1994 A
5324222 Chen Jun 1994 A
5324591 Georger, Jr. et al. Jun 1994 A
5328975 Hanson et al. Jul 1994 A
5334646 Chen Aug 1994 A
5336708 Chen Aug 1994 A
5359022 Mautner et al. Oct 1994 A
5360692 Kawabe et al. Nov 1994 A
5380621 Dichiara et al. Jan 1995 A
5382615 Godfrey Jan 1995 A
5384357 Levinson et al. Jan 1995 A
5389496 Calvert et al. Feb 1995 A
5391463 Ligler et al. Feb 1995 A
5395734 Vogel et al. Mar 1995 A
5396311 Fukushima et al. Mar 1995 A
5401614 Dichiara et al. Mar 1995 A
5403680 Otagawa et al. Apr 1995 A
5414069 Cumming et al. May 1995 A
5417977 Honeycutt May 1995 A
5418136 Miller et al. May 1995 A
5432007 Naito Jul 1995 A
5439766 Day et al. Aug 1995 A
5439872 Ito et al. Aug 1995 A
5449639 Wei et al. Sep 1995 A
5449712 Gierke et al. Sep 1995 A
5455145 Tarumoto Oct 1995 A
5455208 Leung et al. Oct 1995 A
5457081 Takiguchi et al. Oct 1995 A
5458982 Godfrey Oct 1995 A
5467626 Sanders Nov 1995 A
5468591 Pearce et al. Nov 1995 A
5472488 Allman Dec 1995 A
5474890 Di Virgilio et al. Dec 1995 A
5482817 Dichiara et al. Jan 1996 A
5498345 Jollenbeck et al. Mar 1996 A
5498468 Blaney Mar 1996 A
5498748 Urano et al. Mar 1996 A
5500315 Calvert et al. Mar 1996 A
5508334 Chen Apr 1996 A
5510628 Georger, Jr. et al. Apr 1996 A
5512418 Ma Apr 1996 A
5518818 Kidai et al. May 1996 A
5520855 Ito et al. May 1996 A
5527562 Balaba et al. Jun 1996 A
5527872 Allman Jun 1996 A
5552260 Vogel et al. Sep 1996 A
5554485 Dichiara et al. Sep 1996 A
5576144 Pearce et al. Nov 1996 A
5576247 Yano et al. Nov 1996 A
5576359 Urano et al. Nov 1996 A
5578318 Honeycutt Nov 1996 A
5580819 Li et al. Dec 1996 A
5583195 Eckberg Dec 1996 A
5597408 Choi Jan 1997 A
5624294 Chen Apr 1997 A
5629437 Linder et al. May 1997 A
5638724 Sanders Jun 1997 A
5648201 Dulcey et al. Jul 1997 A
5655947 Chen Aug 1997 A
5661196 Mayer et al. Aug 1997 A
5661992 Sanders Sep 1997 A
5662109 Hutson Sep 1997 A
5663286 Ahmed et al. Sep 1997 A
5665845 Allman Sep 1997 A
5670295 Namba et al. Sep 1997 A
5672243 Hsia et al. Sep 1997 A
5674624 Miyazaki et al. Oct 1997 A
5674648 Brewer et al. Oct 1997 A
5677112 Urano et al. Oct 1997 A
5679128 Latting et al. Oct 1997 A
5683095 Astier et al. Nov 1997 A
5693691 Flaim et al. Dec 1997 A
5695551 Buckingham et al. Dec 1997 A
5695910 Urano et al. Dec 1997 A
5707883 Tabara Jan 1998 A
5719249 Fujita et al. Feb 1998 A
5729563 Wang et al. Mar 1998 A
5731091 Schmidt et al. Mar 1998 A
5738976 Okinoshima et al. Apr 1998 A
5741623 Namba et al. Apr 1998 A
5744243 Li et al. Apr 1998 A
5747223 Allen et al. May 1998 A
5747553 Guzauskas May 1998 A
5750292 Sato et al. May 1998 A
5755867 Chikuni et al. May 1998 A
5756257 Landgrebe et al. May 1998 A
5759625 Laubacher et al. Jun 1998 A
5760117 Chen Jun 1998 A
5773170 Patel et al. Jun 1998 A
5780206 Urano et al. Jul 1998 A
5786125 Tsuchiya et al. Jul 1998 A
5800926 Nogami et al. Sep 1998 A
5840821 Nakano et al. Nov 1998 A
5843617 Patel et al. Dec 1998 A
5851730 Thackeray et al. Dec 1998 A
5851738 Thackeray et al. Dec 1998 A
5853808 Arkles et al. Dec 1998 A
5855960 Ohnishi et al. Jan 1999 A
5868597 Chen Feb 1999 A
5873931 Scholz et al. Feb 1999 A
5883011 Lin et al. Mar 1999 A
5884639 Chen Mar 1999 A
5905109 Shimizu et al. May 1999 A
5910021 Tabara Jun 1999 A
5929159 Schutt et al. Jul 1999 A
5935758 Patel et al. Aug 1999 A
5939236 Pavelchek et al. Aug 1999 A
5939510 Sato et al. Aug 1999 A
5945172 Yamaya et al. Aug 1999 A
5945249 Patel et al. Aug 1999 A
5948600 Roschger et al. Sep 1999 A
5949518 Belmares et al. Sep 1999 A
5962572 Chen Oct 1999 A
5964917 Latting Oct 1999 A
5965305 Ligler et al. Oct 1999 A
5972616 O'Brien et al. Oct 1999 A
5976666 Narang et al. Nov 1999 A
5981675 Valint, Jr. et al. Nov 1999 A
5985444 Olson et al. Nov 1999 A
5986344 Subramanion et al. Nov 1999 A
5994431 Olson et al. Nov 1999 A
5997621 Scholz et al. Dec 1999 A
5998300 Tabara Dec 1999 A
5998522 Nakano et al. Dec 1999 A
6000339 Matsuzawa Dec 1999 A
6008350 Roschger et al. Dec 1999 A
6025077 Yamaki et al. Feb 2000 A
6033283 Chen Mar 2000 A
6040053 Scholz et al. Mar 2000 A
6040251 Caldwell Mar 2000 A
6043547 Hsia et al. Mar 2000 A
6050871 Chen Apr 2000 A
6051310 Cano et al. Apr 2000 A
6057239 Wang et al. May 2000 A
6072018 Wilkes et al. Jun 2000 A
6087068 Sato et al. Jul 2000 A
6096460 French et al. Aug 2000 A
6103456 Tobben et al. Aug 2000 A
6103770 Trouve Aug 2000 A
6107167 Bhakta Aug 2000 A
6117176 Chen Sep 2000 A
6124369 Kudo et al. Sep 2000 A
6137175 Tabara Oct 2000 A
6144083 Yin Nov 2000 A
6148830 Chen Nov 2000 A
6149934 Krzysik et al. Nov 2000 A
6150250 Tabara et al. Nov 2000 A
6150440 Olson et al. Nov 2000 A
6152906 Faulks et al. Nov 2000 A
6161555 Chen Dec 2000 A
6165697 Thackeray et al. Dec 2000 A
6166163 Kudo et al. Dec 2000 A
6171766 Patel et al. Jan 2001 B1
6174631 French et al. Jan 2001 B1
6174977 Ariyoshi et al. Jan 2001 B1
6180025 Schoenfeld et al. Jan 2001 B1
6180317 Allen et al. Jan 2001 B1
6187689 Tabara Feb 2001 B1
6190830 Leon et al. Feb 2001 B1
6190839 Pavelchek et al. Feb 2001 B1
6190955 Ilg et al. Feb 2001 B1
6191030 Subramanian et al. Feb 2001 B1
6194121 Namba et al. Feb 2001 B1
6194534 Baumann et al. Feb 2001 B1
6210862 Day et al. Apr 2001 B1
6214104 Iida et al. Apr 2001 B1
6217890 Paul et al. Apr 2001 B1
6225033 Onishi et al. May 2001 B1
6225671 Yin May 2001 B1
6232424 Zhong et al. May 2001 B1
6235456 Ibok May 2001 B1
6238379 Keuhn, Jr. et al. May 2001 B1
6238838 Gaschler et al. May 2001 B1
6261676 Olson et al. Jul 2001 B1
6261743 Pavelchek et al. Jul 2001 B1
6268108 Iguchi et al. Jul 2001 B1
6268294 Jang et al. Jul 2001 B1
6268457 Kennedy et al. Jul 2001 B1
6271273 You et al. Aug 2001 B1
6277750 Pawlowski et al. Aug 2001 B1
6280911 Trefonas, III Aug 2001 B1
6284428 Hirosaki et al. Sep 2001 B1
6287286 Akin et al. Sep 2001 B1
6291143 Patel et al. Sep 2001 B1
6291586 Lasch et al. Sep 2001 B2
6296862 Paul et al. Oct 2001 B1
6306736 Alivisatos et al. Oct 2001 B1
6313045 Zhong et al. Nov 2001 B1
6313257 Abbey Nov 2001 B1
6315946 Focht Nov 2001 B1
6316013 Paul et al. Nov 2001 B1
6316160 Shao et al. Nov 2001 B1
6316165 Pavelchek et al. Nov 2001 B1
6323268 Fisher et al. Nov 2001 B1
6324703 Chen Dec 2001 B1
6326231 Subramanian et al. Dec 2001 B1
6329117 Padmanaban et al. Dec 2001 B1
6329118 Hussein et al. Dec 2001 B1
6333374 Chen Dec 2001 B1
6335234 Wu et al. Jan 2002 B2
6343249 Sakai et al. Jan 2002 B1
6344305 Lin et al. Feb 2002 B1
6348240 Calvert et al. Feb 2002 B1
6350818 Hong et al. Feb 2002 B1
6352931 Seta et al. Mar 2002 B1
6358294 Latting Mar 2002 B1
6359096 Zhong et al. Mar 2002 B1
6365529 Hussein et al. Apr 2002 B1
6365765 Baldwin et al. Apr 2002 B1
6368400 Baldwin et al. Apr 2002 B1
6368681 Ogawa Apr 2002 B1
6374738 Lewis et al. Apr 2002 B1
6380621 Ando et al. Apr 2002 B1
6387519 Anderson et al. May 2002 B1
6391524 Yates et al. May 2002 B2
6399269 Mizutani et al. Jun 2002 B2
6403464 Chang Jun 2002 B1
6409883 Makolin et al. Jun 2002 B1
6410150 Kurosawa et al. Jun 2002 B1
6410209 Adams et al. Jun 2002 B1
6413647 Hayashi et al. Jul 2002 B1
6420088 Angelopoulos et al. Jul 2002 B1
6420475 Chen Jul 2002 B1
6426125 Yang et al. Jul 2002 B1
6432191 Schutt Aug 2002 B2
6433037 Guzauskas Aug 2002 B1
6441452 Yin Aug 2002 B2
6444584 Hsiao Sep 2002 B1
6448185 Andideh et al. Sep 2002 B1
6448464 Akin et al. Sep 2002 B1
6451503 Thackeray et al. Sep 2002 B1
6455207 Katoh et al. Sep 2002 B1
6455416 Subramanian et al. Sep 2002 B1
6461970 Yin Oct 2002 B1
6465358 Nashner et al. Oct 2002 B1
6465889 Subramanian et al. Oct 2002 B1
6472012 Nakada et al. Oct 2002 B2
6472128 Thackeray et al. Oct 2002 B2
6475892 Bhakta Nov 2002 B1
6488394 Mabe et al. Dec 2002 B1
6491840 Frankenbach et al. Dec 2002 B1
6492441 Hong et al. Dec 2002 B2
6495264 Hayashi et al. Dec 2002 B2
6497893 Everhart et al. Dec 2002 B1
6503233 Chen et al. Jan 2003 B1
6503413 Uchiyama et al. Jan 2003 B2
6503526 Krzysik et al. Jan 2003 B1
6503586 Wu et al. Jan 2003 B1
6503692 Angelopoulos et al. Jan 2003 B2
6504525 Knights Jan 2003 B1
6505362 Scipio Jan 2003 B1
6506497 Kennedy et al. Jan 2003 B1
6514677 Ramsden et al. Feb 2003 B1
6515073 Sakamoto et al. Feb 2003 B2
6528235 Thackeray et al. Mar 2003 B2
6541107 Zhong et al. Apr 2003 B1
6544717 Hirosaki et al. Apr 2003 B2
6552109 Chen Apr 2003 B1
6558363 Keuhn, Jr. et al. May 2003 B2
6558880 Goswami et al. May 2003 B1
6562192 Hamilton et al. May 2003 B1
6565813 Garyantes May 2003 B1
6566479 Bublewitz et al. May 2003 B1
6573175 Yin et al. Jun 2003 B1
6576382 Day et al. Jun 2003 B2
6576408 Meador et al. Jun 2003 B2
6576651 Bandyopadhyay et al. Jun 2003 B2
6582861 Buxbaum et al. Jun 2003 B2
6592999 Anderson et al. Jul 2003 B1
6593388 Crivello Jul 2003 B2
6596314 Wong et al. Jul 2003 B2
6596467 Gallagher et al. Jul 2003 B2
6602652 Adams et al. Aug 2003 B2
6605359 Robinson et al. Aug 2003 B2
6605360 Kizaki et al. Aug 2003 B2
6605362 Baldwin et al. Aug 2003 B2
6605542 Seta et al. Aug 2003 B2
6610457 Kim et al. Aug 2003 B2
6612828 Powers et al. Sep 2003 B2
6613834 Nakata et al. Sep 2003 B2
6617257 Ni et al. Sep 2003 B2
6623791 Sadvary et al. Sep 2003 B2
6627275 Chen Sep 2003 B1
6632535 Buazza et al. Oct 2003 B1
6635281 Wong et al. Oct 2003 B2
6635341 Barancyk et al. Oct 2003 B1
6645685 Takata et al. Nov 2003 B2
6645881 Yamada et al. Nov 2003 B2
6649212 Payne et al. Nov 2003 B2
6649741 O'Brien et al. Nov 2003 B1
6652766 Frankenbach et al. Nov 2003 B1
6653049 Pavelchek et al. Nov 2003 B2
6655946 Foreman et al. Dec 2003 B2
6667424 Hamilton et al. Dec 2003 B1
6670284 Yin Dec 2003 B2
6673982 Chen et al. Jan 2004 B1
6676398 Foreman et al. Jan 2004 B2
6676740 Matsumura et al. Jan 2004 B2
6677392 Ravichandran et al. Jan 2004 B2
6689932 Kruchoski et al. Feb 2004 B2
6696538 Ko et al. Feb 2004 B2
6699647 Lynch et al. Mar 2004 B2
6702564 Foreman et al. Mar 2004 B2
6703169 Fuller et al. Mar 2004 B2
6703462 Lee Mar 2004 B2
6709257 Foreman et al. Mar 2004 B2
6712331 Foreman et al. Mar 2004 B2
6716566 Aoshima Apr 2004 B2
6717181 Murakami et al. Apr 2004 B2
6720125 Nakamura et al. Apr 2004 B2
6726463 Foreman Apr 2004 B2
6726997 Tamori et al. Apr 2004 B2
6730454 Pfeiffer et al. May 2004 B2
6730461 Hunt et al. May 2004 B2
6737121 Yang et al. May 2004 B2
6740685 Li et al. May 2004 B2
6749860 Tyrrell et al. Jun 2004 B2
6752613 Foreman Jun 2004 B2
6756103 Thompson et al. Jun 2004 B2
6756124 Kanamori et al. Jun 2004 B2
6756520 Krzysik et al. Jun 2004 B1
6758663 Foreman et al. Jul 2004 B2
6767689 Pavelchek et al. Jul 2004 B2
6770726 Arkles et al. Aug 2004 B1
6773861 Takashima et al. Aug 2004 B2
6773864 Thackeray et al. Aug 2004 B1
6776094 Whitesides et al. Aug 2004 B1
6777092 Hayashi et al. Aug 2004 B1
6783468 Sullivan et al. Aug 2004 B2
6787281 Tao et al. Sep 2004 B2
6790024 Foreman Sep 2004 B2
6794440 Chen Sep 2004 B2
6797343 Lee Sep 2004 B2
6797453 Shiraki et al. Sep 2004 B2
6800330 Hayashi et al. Oct 2004 B2
6803034 DuVal et al. Oct 2004 B2
6803168 Padmanaban et al. Oct 2004 B1
6803476 Rantala et al. Oct 2004 B2
6808381 Foreman et al. Oct 2004 B2
6819049 Bohmer et al. Nov 2004 B1
6824879 Baldwin et al. Nov 2004 B2
6824952 Minsek et al. Nov 2004 B1
6825303 Lee Nov 2004 B2
6831189 Rantala et al. Dec 2004 B2
6832064 Simpson et al. Dec 2004 B2
6840752 Foreman et al. Jan 2005 B2
6844131 Oberlander et al. Jan 2005 B2
6846614 Timpe et al. Jan 2005 B2
6849209 Minami et al. Feb 2005 B2
6849373 Pavelchek et al. Feb 2005 B2
6849923 Seta et al. Feb 2005 B2
6852421 Wayton et al. Feb 2005 B2
6852766 DeVoe Feb 2005 B1
6855466 Pavelchek et al. Feb 2005 B2
6864040 Muller et al. Mar 2005 B2
6867253 Chen Mar 2005 B1
6869747 Sabnis et al. Mar 2005 B2
6875005 Foreman Apr 2005 B2
6884568 Timpe et al. Apr 2005 B2
6887644 Nozaki et al. May 2005 B1
6887648 Pavelchek et al. May 2005 B2
6888174 Hohn et al. May 2005 B2
6890448 Pavelchek May 2005 B2
6890605 Nishikawa et al. May 2005 B2
6890865 Yin et al. May 2005 B2
6893245 Foreman et al. May 2005 B2
6893797 Munnelly et al. May 2005 B2
6896821 Louellet May 2005 B2
6899988 Kidnie et al. May 2005 B2
6900000 Sabnis et al. May 2005 B2
6902771 Shiota et al. Jun 2005 B2
6902861 Tao et al. Jun 2005 B2
6908722 Ebata et al. Jun 2005 B2
6909220 Chen Jun 2005 B2
6911514 Bublewitz et al. Jun 2005 B2
6914114 Baldwin et al. Jul 2005 B2
6924384 Rantala et al. Aug 2005 B2
6942083 Barnes et al. Sep 2005 B2
6956097 Kennedy et al. Oct 2005 B2
6974970 Rantala et al. Dec 2005 B2
7012125 Kennedy et al. Mar 2006 B2
7014982 Thackeray et al. Mar 2006 B2
7026053 Shiota et al. Apr 2006 B2
7026427 Koehler et al. Apr 2006 B2
7060634 Rantala et al. Jun 2006 B2
7074874 Kobayashi et al. Jul 2006 B2
7081272 Sasaki et al. Jul 2006 B2
7098346 Rantala et al. Aug 2006 B2
7119354 Yagihashi et al. Oct 2006 B2
7128976 Hayashi et al. Oct 2006 B2
7132473 Ogihara et al. Nov 2006 B2
7144827 Rantala et al. Dec 2006 B2
7161019 Rantala et al. Jan 2007 B2
7202013 Ogihara et al. Apr 2007 B2
7651776 Yoshioka et al. Jan 2010 B2
20020031729 Trefonas, III et al. Mar 2002 A1
20020034630 Cano et al. Mar 2002 A1
20020095018 Baldwin et al. Jul 2002 A1
20020102417 Schutt et al. Aug 2002 A1
20020123592 Zhang et al. Sep 2002 A1
20020127330 Jin et al. Sep 2002 A1
20020128388 Kennedy et al. Sep 2002 A1
20030003176 Foreman et al. Jan 2003 A1
20030111748 Foreman Jun 2003 A1
20030120018 Baldwin et al. Jun 2003 A1
20030125430 Adedeji et al. Jul 2003 A1
20030157391 Coleman et al. Aug 2003 A1
20030171729 Kaun et al. Sep 2003 A1
20030191269 Ko et al. Oct 2003 A1
20030192638 Yang et al. Oct 2003 A1
20030199633 Leon et al. Oct 2003 A1
20030214042 Miyazawa Nov 2003 A1
20030215737 Shiraki et al. Nov 2003 A1
20030224611 Seta et al. Dec 2003 A1
20030227021 Yamazaki et al. Dec 2003 A1
20030235785 Barclay et al. Dec 2003 A1
20040020689 Kagami et al. Feb 2004 A1
20040067437 Wayton et al. Apr 2004 A1
20040072420 Enomoto et al. Apr 2004 A1
20040072436 RamachandraRao et al. Apr 2004 A1
20040077757 Araki et al. Apr 2004 A1
20040091811 Munnelly et al. May 2004 A1
20040096666 Knox et al. May 2004 A1
20040131979 Li et al. Jul 2004 A1
20040161698 Kanagasabapathy et al. Aug 2004 A1
20040166434 Dammel et al. Aug 2004 A1
20040202874 Iwabuchi et al. Oct 2004 A1
20040202956 Takahashi et al. Oct 2004 A1
20040229158 Meador et al. Nov 2004 A1
20040235971 Hamada et al. Nov 2004 A1
20040247900 Oglhara et al. Dec 2004 A1
20040253461 Ogihara et al. Dec 2004 A1
20040253532 Wu et al. Dec 2004 A1
20040253535 Cameron et al. Dec 2004 A1
20050019842 Prober et al. Jan 2005 A1
20050020837 Doherty et al. Jan 2005 A1
20050026092 Nagase Feb 2005 A1
20050032357 Runtala et al. Feb 2005 A1
20050042538 Babich et al. Feb 2005 A1
20050058929 Kennedy et al. Mar 2005 A1
20050074689 Angelopoulos et al. Apr 2005 A1
20050074981 Meagley et al. Apr 2005 A1
20050077639 Foreman et al. Apr 2005 A1
20050080214 Shin et al. Apr 2005 A1
20050089642 Adams et al. Apr 2005 A1
20050136268 Shin et al. Jun 2005 A1
20050171277 Li et al. Aug 2005 A1
20050225238 Winters Oct 2005 A1
20050277756 Iwabuchi et al. Dec 2005 A1
20060006541 Tsuchiya et al. Jan 2006 A1
20060057801 Rantala et al. Mar 2006 A1
20060085489 Tomic et al. Apr 2006 A1
20060110682 Thackeray et al. May 2006 A1
20060115766 Suwa et al. Jun 2006 A1
20060127587 Kang et al. Jun 2006 A1
20060131753 Runtala et al. Jun 2006 A1
20060155594 Almeida et al. Jul 2006 A1
20060258146 Runtala et al. Nov 2006 A1
20060289849 Yagihashi et al. Dec 2006 A1
20070088144 Kang et al. Apr 2007 A1
Foreign Referenced Citations (176)
Number Date Country
0144880 Nov 1984 EP
0146411 Dec 1984 EP
0204963 Dec 1986 EP
0323186 Jul 1989 EP
0184248 Aug 1989 EP
229629 Apr 1991 EP
0423446 Apr 1991 EP
0427395 May 1991 EP
0449263 Oct 1991 EP
0217137 Apr 1992 EP
0494744 Jul 1992 EP
0159428 Nov 1992 EP
0458651 Mar 1994 EP
0401499 Dec 1995 EP
0422570 Dec 1995 EP
0727711 Aug 1996 EP
0851300 Jul 1998 EP
0881678 Dec 1998 EP
0902067 Mar 1999 EP
0911875 Apr 1999 EP
0687004 Dec 2002 EP
1829945 Sep 2007 EP
0225676 Apr 2009 EP
50022870 Jul 1975 JP
53088099 Aug 1978 JP
122596 Oct 1978 JP
53124561 Oct 1978 JP
54079037 Jun 1979 JP
54083956 Jul 1979 JP
55000761 Jan 1980 JP
55-063335 May 1980 JP
55165942 Dec 1980 JP
56000627 Jan 1981 JP
56000828 Jan 1981 JP
56081333 Jul 1981 JP
81028935 Jul 1981 JP
56118465 Sep 1981 JP
56129261 Oct 1981 JP
56139533 Oct 1981 JP
56151731 Nov 1981 JP
57008279 Jan 1982 JP
57038865 Mar 1982 JP
57059672 Apr 1982 JP
57083563 May 1982 JP
57112047 Jul 1982 JP
57125905 Aug 1982 JP
57131250 Aug 1982 JP
57141641 Sep 1982 JP
57141642 Sep 1982 JP
57168246 Oct 1982 JP
57168247 Oct 1982 JP
58-003249 Jan 1983 JP
83007001 Feb 1983 JP
58-066335 Apr 1983 JP
58174480 Oct 1983 JP
59189126 Oct 1983 JP
59058054 Apr 1984 JP
59109565 Jun 1984 JP
59112834 Jun 1984 JP
59132423 Jul 1984 JP
59190211 Oct 1984 JP
60042426 Mar 1985 JP
60076528 May 1985 JP
60086017 May 1985 JP
61108628 May 1985 JP
60152552 Aug 1985 JP
60185892 Sep 1985 JP
60195148 Oct 1985 JP
60254034 Dec 1985 JP
60254035 Dec 1985 JP
61014096 Jan 1986 JP
61098747 May 1986 JP
61127732 Jun 1986 JP
61221232 Oct 1986 JP
61224330 Oct 1986 JP
61246347 Nov 1986 JP
61260242 Nov 1986 JP
61-274497 Dec 1986 JP
62027417 Feb 1987 JP
62043426 Feb 1987 JP
62056956 Mar 1987 JP
62067561 Mar 1987 JP
62096942 May 1987 JP
59112487 Jun 1987 JP
62161124 Jul 1987 JP
62215944 Sep 1987 JP
62275643 Dec 1987 JP
62299965 Dec 1987 JP
63005337 Jan 1988 JP
63006544 Jan 1988 JP
64001769 Jan 1988 JP
63046272 Feb 1988 JP
63072745 Apr 1988 JP
63106649 May 1988 JP
63117074 May 1988 JP
63118739 May 1988 JP
63120774 May 1988 JP
63-137437 Jun 1988 JP
63137972 Jun 1988 JP
63149636 Jun 1988 JP
63152130 Jun 1988 JP
63172757 Jul 1988 JP
63173382 Jul 1988 JP
63199251 Aug 1988 JP
63207829 Aug 1988 JP
63238133 Oct 1988 JP
63287823 Nov 1988 JP
63289045 Nov 1988 JP
63308077 Dec 1988 JP
64-028032 Jan 1989 JP
1016868 Jan 1989 JP
1038256 Feb 1989 JP
1056710 Mar 1989 JP
1075046 Mar 1989 JP
1110546 Apr 1989 JP
1115966 May 1989 JP
1168718 Jul 1989 JP
1185367 Jul 1989 JP
1203013 Aug 1989 JP
1204043 Aug 1989 JP
1204432 Aug 1989 JP
1207310 Aug 1989 JP
1217352 Aug 1989 JP
1245248 Sep 1989 JP
1308429 Dec 1989 JP
1313942 Dec 1989 JP
2000615 Jan 1990 JP
2008209 Jan 1990 JP
2038427 Feb 1990 JP
2099955 Apr 1990 JP
2110464 Apr 1990 JP
2124936 May 1990 JP
02-145511 Jun 1990 JP
2150426 Jun 1990 JP
2163744 Jun 1990 JP
2178330 Jul 1990 JP
2308806 Dec 1990 JP
3007766 Jan 1991 JP
3014456 Jan 1991 JP
3026716 Feb 1991 JP
3028852 Feb 1991 JP
3031325 Feb 1991 JP
3045628 Feb 1991 JP
3047883 Feb 1991 JP
03-050459 Mar 1991 JP
3059016 Mar 1991 JP
3064337 Mar 1991 JP
3064753 Mar 1991 JP
3152544 Jun 1991 JP
3154007 Jul 1991 JP
3162441 Jul 1991 JP
3197135 Aug 1991 JP
3200257 Sep 1991 JP
3207774 Sep 1991 JP
3209476 Sep 1991 JP
3252446 Nov 1991 JP
06056560 Mar 1994 JP
6095385 Apr 1994 JP
6129153 May 1994 JP
53000896 Jan 1997 JP
9183853 Jul 1997 JP
54083957 Jul 1997 JP
10502461 Mar 1998 JP
10161315 Jun 1998 JP
2001092122 Jun 2001 JP
2006241407 Sep 2006 JP
9600758 Jan 1996 WO
0031183 Jun 2000 WO
0077575 Dec 2000 WO
0077575 Dec 2000 WO
0216477 Feb 2002 WO
03044078 May 2003 WO
03044600 May 2003 WO
03070809 Aug 2003 WO
03089992 Oct 2003 WO
WO 2005080629 Sep 2005 WO
Non-Patent Literature Citations (49)
Entry
U.S. Appl. No. 90/008,360, Shipley Company, L.L.C.
Crivello et al., J. Polym. Sci.: Polym. Chem. 21 (1983), 97-109.
Degussa, “Silanes for Adhesives and Sealants,” 18-19, available at www.dynasylan.com.
Lamola, A. et al., “Chemically Amplified Resists,” Solid State Technology, 53-60 (Aug. 1991).
Y.-C. Lin et al., “Some Aspects of Anti-Reflective Coating for Optical Lithography,” Advances in Resist Technology and Processing, Proc., SPIE vol. 469, 30-37 (1984).
McKean et al., “Characterization of a Novolac-Based Three-Component Deep-UV Resist,” Chem. Mater. (1990) 2, 619-624.
Nalamasu et al., “Development of a Chemically Amplified Positive (CAMP) Resist Material for Single Layer Deep-UV Lithography,” Advances in Resist Technology and Processing VII, SPIE 1262, 32-41 (1990).
Silverstein et al., “Spectrometric Identification of Organic Compounds,” 4th Ed. John Wiley & Sons 1991, 309-311.
Willson, C.G., “Organic Resist Materials—Theory and Chemistry,” Introduction to Microlithography, American Chemical Society, 87-159 (1983).
Hawley's Condensed Chemical Dictionary, 11th ed., 85-86.
“HD Micro Puts Out Positive Polyamide,” Electronic News, Jun. 19, 2000.
Jaskot et al., Toxicological Sciences, 22(1): 103-112 (1994).
Brewer, T. et al., “The Reduction of the Standing Wave Effect in Positive Photoresists,” Jour. Appl. Photogr. Eng., vol. 7, No. 6, 184-186 (Dec. 1981).
Yuske Izumi, et al., “Hydrosilaytion of Carbonyl compounds Catalyzed by Solid Acids and Bases,” (Tetrahedron Letters, vol. 32, No. 36, pp. 4744 (1991).
Singer, “Anti-Reflective Coatings: A Story of Interfaces”, Semiconductor International, pp. 55-60 (Mar. 1999).
Lucas, et al., “Anti-reflective coating optimizing techniques for sub-0.2um geometries”< Motorola, Advanced Products, p. 171 SPIE 25th Annual Symposium on Microlithography, Feb. 27-Mar. 3, 2000.
Puppo, et al., “A novel organic resist removal and cleansing technology”, p. 228, SPIE 25 th Annual Symposium on Microlithography, Feb. 27-Mar. 3, 2000.
Taylor, et al., “Methyacrylate Resists and Antireflective Coatings for 193 nm Lithography”, p. 245, SPIE 25 th Annual Symposium on Microlithography, Feb, 27-Mar. 3, 2000.
Lin, et al., “Dual Layer inorganic SiON Bottom ARC for 0.25um DUV Hard Mask Applications”, p. 246 SPIE 25 th Annual Symposium on Microlithography, Feb. 27-Mar. 3, 2000.
Yamanaka, et al., “Suppression of Resist pattern Deformation on SiON Bottom Anti-Reflective Layer for Deep UV Lithography”, p. 247 SPIE 25 th Annual Symposium on Microlithography, Feb. 27-Mar. 3, 2000.
Padmanaban, “Bottom Anti-Reflective Coatings for ArF, KrF, and I-line Applications: A Comparison of Theory, Design and Lithographic Aspects”, p. 281 SPIE 25 th Annual Symposium on Microlithography, Feb. 27-Mar. 3, 2000.
Onishi, “Application of polysilanes for deep UV antireflective coating”, p. 248 SPIE 25 th Annual Symposium on Microlithography. Feb. 27-Mar. 3, 2000.
Mizutani, et al., “Design of a new bottom antireflective coating composition for KrF resist”, p. 277 SPIE 25 th Annual Symposium on Microlithography, Feb. 27-Mar. 3, 2000.
Trefonas, “Organic Antireflective Coatings for 193nm Lithography”, p. 298, SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
Meador, “Recent Progress in 193nm Antireflective Coatings”, p. 311, SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
Ding, et al., Process and Performance Optimization of Bottom Antireflective Coatings (Part II), p. 328, SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
Schiavone, et al., “SiON based antireflective coating for 193nm lithography”, p. 335, SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
Lu, “Performance impact of novel polymetric dyes in photresist application”, p. 346, SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
Stephen, et al., “Antireflective Coating for 193nm Lithography”, p. 355, SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
Chun, et al., “Novel Hardening Methods of DUV Chemically Amplified Photresist by Ion Implantation and its Application to New Organic ARC Material and Bilayer Process”, p. 360. SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
van Wingerden, “Joint optimisation of subtrate reflectivity, resist thickness and resist absorption for CD control and resolution”, p. 451, SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
Chou, et al., “Anti-Reflection Strategies for Sub-0.18um Dual Damascene Patterning in KrF 248nm Lithography”, p. 453, SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
Nakoaka, et al., “Comparison of CD variation between organic and inorganic bottom anti-reflective coating on Topgraphic Substrates”, p. 454, SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
Bauer, et al, “ARC technology to minimize CD-Variations during Emitter structuring—Experiment and Simulation”, p. 459, SPIE 25th Annual Symposium on Microlithogrpahy, Feb. 27-Mar. 3, 2000.
Allied Signal ACCUGLASS T-04 Spin-On Glass Material Safety Data Sheet, Jun. 30, 1998.
Honeywell Material Safety Data Sheet, ACCUGLASS T-08 (108, 208) Spin-On Glass, Jun. 19, 2002. pp. 1-8.
Honeywell ACCUSPIN 720 Spin-On Glass Material Safety Data Sheet, Mar. 7, 2000.
Allied Signal ACCUSPIN 720 Spin-On Polymer Product Bulletin, Sep. 1995.
Pacansky, et al., Photochemical Decompistion Mechanisms for AZ-Type Ogitresusts:m Hab, 1979m ogs 42-55.
Korchkov, et al., “Low Temperature Dielectric Films from Octavinylsilsequioxane”, Dec. 1982, pp. 373-376.
Lavrent'yev, et al., “Polyhedral Oligosilsesquioxanes and their Homo Derivatives”, Aug. 1981, pp. 199-236.
Lavrent'yev, et al., “Ethylmethyloctasesquioxanes: Products of the Reactions of Ethylpolycyclosiloxanes with Tricholomnethylsaine. Their Chromatographic Mass Spectrometic Investigation”, 1981.
Li, et al., “Organosiloxane Based Bottom Antireflective Coatings for 193nm Lithography”, pp. 1-10.
Li, et al., “An Organosiloxane Spin on Bottom Antireflective Coatings for ArF Lithography”, pp. 1-9.
Lin et al, “Linewidth Control Using Anti-Reflective Coating for Optical Lithography”, pp. 399-402.
Tanaka et al. “A New Photolithography Technique with Antireflective Coating on Resist: ARCOR”, pp. 3900-3904.
Berg et al. “Antireflection coatings on metal layers for photolithographic purposes”, p. 1212.
Resiser “Photoreactive Polymers—Multilayer Techniques and Plasma Processing”, pp. 359-367.
Sheates “Photobleaching Chemistry of Polymers Containing Anthracese”, pp. 332-348.
Related Publications (1)
Number Date Country
20080206690 A1 Aug 2008 US
Provisional Applications (2)
Number Date Country
60903466 Feb 2007 US
60949392 Jul 2007 US