Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications

Information

  • Patent Grant
  • 7439191
  • Patent Number
    7,439,191
  • Date Filed
    Friday, April 5, 2002
    22 years ago
  • Date Issued
    Tuesday, October 21, 2008
    15 years ago
Abstract
A method of silicon layer deposition using a cyclical deposition process. The cyclical deposition process comprises alternately adsorbing a silicon-containing precursor and a reducing gas on a substrate structure. Thin film transistors, such as for example a bottom-gate transistor or a top-gate transistor, including one or more silicon layers may, be formed using such cyclical deposition techniques.
Description

This application claims priority from U.S. Provisional Application Ser. No. 60/368,402 filed Mar. 26, 2002 entitled, “Deposition Of Gate Dielectric Layers For Active Matrix Liquid Crystal Display (AMLCD) Applications.”


BACKGROUND OF THE INVENTION

1. Field of the Invention


Embodiments of the present invention relate to methods of silicon layer deposition and, more particularly, to methods of silicon layer formation using cyclical deposition techniques for active matrix liquid crystal display (AMLCD) applications.


2. Description of the Background Art


Active matrix liquid crystal displays have eliminated many problems associated with passive displays. For example, the fabrication of active matrix liquid crystal displays have enabled display screens to achieve greater brightness, enhanced readability, a greater variety of color shades, and broader viewing angles compared to displays that employ other technologies. Active matrix liquid crystal displays have therefore become the display technology of choice for numerous applications including computer monitors, television screens, camera displays, avionics displays, as well as numerous other applications.


Active matrix liquid crystal displays generally comprise an array of picture elements called pixels. An electronic switch is associated with each pixel in the display to control the operation thereof. Various electronic switches such as, for example, thin film transistors, and organic light emitting diodes (OLED), among others have been investigated to control pixel operation. Thin film transistors, in particular, offer a high degree of design flexibility and device performance.


Thin film transistors are generally formed on large area substrates having a high degree of optical transparency such as, for example, glass. FIG. 1 depicts a cross-sectional schematic view of a thin film transistor 22 being a type that has a bottom gate structure. The thin film transistor 22 includes a glass substrate 1 having an underlayer 2 formed on the surface thereof. A gate is formed on the underlayer 2. The gate comprises a gate metal layer 4 and a gate dielectric 8. The gate controls the movement of charge carriers in the transistor. A gate dielectric 8 formed over the gate metal layer 4 electrically isolates the gate from semiconductor layers 10, 14a, 14b, formed thereon, each of which may function to provide charge carriers to the transistor. A source region 18a of the transistor is formed on semiconductor layer 14a and a drain region 18b of the transistor is formed on semiconductor layer 14b. Finally, a passivation layer 20 encapsulates the thin film transistor 22 to protect it from environmental hazards such as moisture and oxygen.


Many thin film transistors use silicon for the semiconductor layers 10, 14a, 14b. Amorphous silicon, in particular, is widely employed because it is easy to deposit at low temperatures using techniques such as, for example, plasma enhanced chemical vapor deposition (PECVD). Unfortunately, it is difficult to deposit amorphous silicon layers that are continuous (e.g., without gaps or voids) using PECVD techniques. Amorphous silicon layers also tend to have a lower electron mobility. A low electron mobility for the amorphous silicon may limit the speed of transistors formed therefrom.


As such, polycrystalline silicon has been actively investigated as a substitute for amorphous silicon in thin film transistors. Polycrystalline silicon also has an electron mobility several orders of magnitude greater than that of amorphous silicon, which allows for the formation of fast-switching thin film transistors.


Unfortunately, conventional plasma enhanced chemical vapor deposition (PECVD) techniques used to form polycrystalline silicon tend to be high temperature processes. High deposition temperatures may not be compatible with the glass substrates upon which the thin film transistors are formed, since the glass tends to soften and become dimensionally unstable.


To circumnavigate this problem, some transistor fabrication processes form polycrystalline silicon by first depositing a layer of amorphous silicon at relatively low temperatures and then annealing the layer using a laser or a furnace to convert the amorphous silicon to polycrystalline silicon. While the electron mobility is higher for polycrystalline silicon films formed using an annealing process than for an amorphous silicon film, the electron mobility of such films is still lower than the electron mobility for polycrystalline silicon films directly deposited on a substrate from a plasma enhanced chemical vapor deposition (PECVD) process. Furthermore, annealing requires an additional step, thereby reducing the process throughput of thin film transistor fabrication processes.


Therefore, a need exists to develop a method of forming silicon layers for use in thin film transistors.


SUMMARY OF THE INVENTION

A method of silicon layer deposition for thin film transistor applications for use in active matrix liquid crystal displays (AMLCD) is described. A silicon layer is deposited using a cyclical deposition process. The cyclical deposition process comprises alternately adsorbing a silicon-containing precursor and a reducing gas on a substrate structure. The adsorbed silicon-containing precursor reacts with the adsorbed reducing gas to form the silicon layer on the substrate.


The method of silicon layer deposition formed with the cyclical deposition process may also be used in conjunction with other deposition processes. For example, a silicon seed layer may be formed on a substrate using a cyclical deposition process followed by a bulk silicon layer formed using a chemical vapor deposition (CVD) process.


Thin film transistors, such as for example a bottom-gate transistor or a top-gate transistor, including one or more silicon layers may be formed using such cyclical deposition techniques. In one embodiment, a preferred process sequence for fabricating a bottom-gate transistor includes providing a substrate having a gate comprising a gate metal layer and a gate dielectric layer formed thereon. One or more silicon layers are deposited on the gate dielectric layer. The one or more silicon layers may comprise, for example, a source region and/or a drain region of the transistor. The one or more silicon layers are formed by alternately adsorbing a silicon-containing precursor and a reducing gas on the substrate. The adsorbed silicon-containing precursor reacts with the adsorbed reducing gas to form the silicon layer on the substrate. Thereafter, the bottom-gate transistor may be completed by depositing a passivation layer on the substrate.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.


It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.



FIG. 1 depicts a cross-sectional schematic view of a prior art bottom-gate thin film transistor;



FIG. 2 depicts a schematic, cross-sectional view of a process chamber that can be used to practice embodiments described herein;



FIG. 3 illustrates a process sequence for silicon layer formation using cyclical deposition techniques according to one embodiment described herein;



FIG. 4 illustrates a process sequence for silicon layer formation using cyclical deposition techniques according to an alternative embodiment described herein;



FIGS. 5A-5B depict alternate embodiments of cyclical deposition techniques according to embodiments described herein including one or more pulses of a dopant compound;



FIGS. 6A-6D depict cross-sectional views of a substrate at different stages of a bottom-gate thin film transistor fabrication sequence; and



FIGS. 7A-7D depict cross-sectional views of a substrate at different stages of a top-gate thin film transistor fabrication sequence.





DETAILED DESCRIPTION OF THE INVENTION


FIG. 2 depicts a schematic cross-sectional view of a process chamber 310 that can be used to perform integrated circuit fabrication in accordance with embodiments described herein. The process chamber 310 generally houses a substrate support pedestal 348, which is used to support a substrate (not shown). The substrate support pedestal 348 is movable in a vertical direction inside the process chamber 310 using a displacement mechanism 348a.


Depending on the specific process, the substrate can be heated to some desired temperature prior to or during deposition. For example, the substrate support pedestal 348 may be heated using an embedded heater element 352a. The substrate support pedestal 348 may be resistively heated by applying an electric current from an AC power supply 352 to the heater element 352a. The substrate (not shown) is, in turn, heated by the pedestal 348. Alternatively, the substrate support pedestal 348 may be heated using radiant heaters such as, for example, lamps (not shown).


A temperature sensor 350a, such as a thermocouple, is also embedded in the substrate support pedestal 348 to monitor the temperature of the pedestal 348 in a conventional manner. The measured temperature is used in a feedback loop to control the AC power supply 352 for the heating element 352a, such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.


A vacuum pump 318 is used to evacuate the process chamber 310 and to maintain the pressure inside the process chamber 310. A gas manifold 334, through which process gases are introduced into the process chamber 310, is located above the substrate support pedestal 348. The gas manifold 334 is connected to a gas panel 311, which controls and supplies various process gases to the process chamber 310.


Proper control and regulation of the gas flows to the gas manifold 334 are performed by mass flow controllers (not shown) and a microprocessor controller 370. The gas manifold 334 allows process gases to be introduced and uniformly distributed in the process chamber 310. Additionally, the gas manifold 334 may optionally be heated to prevent condensation of the any reactive gases within the manifold.


The gas manifold 334 includes a plurality of electronic control valves (not shown). The electronic control valves as used herein refer to any control valve capable of providing rapid and precise gas flow to the process chamber 310 with valve open and close cycles of less than about 1-2 seconds, and more preferably less than about 0.1 second.


The microprocessor controller 370 may be one of any form of general purpose computer processor (CPU) 371 that can be used in an industrial setting for controlling various chambers and sub-processors. The computer may use any suitable memory 372, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. Various support circuits 373 may be coupled to the CPU for supporting the processor in a conventional manner. Software routines as required may be stored on the memory or executed by a second CPU that is remotely located.


The software routines are executed to initiate process recipes or sequences. The software routines, when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed. For example, software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to the present invention. Alternatively, the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.


Alternatively, process chamber 310 may be adapted to generate an electric field therein. The electric field may be applied to one or more of the process gases introduced into the process chamber 310 through the gas manifold 334. For example, a high frequency power supply 312 may be coupled to the substrate support pedestal 348. The high frequency power supply 312 may be a radio frequency (RF) power supply with a frequency of, for example, about 13.56 MHz.


An electric field may be generated within the process chamber 310 by applying a high frequency power to the substrate support pedestal 348. The electric field may be used to ignite a process gas, such as, for example a reducing gas, forming a plasma 314 within the process chamber 310. The plasma 314 is believed to enhance the reaction between adsorbed process gases on a substrate positioned on the substrate support pedestal 348. The plasma may optionally be generated in a remote plasma chamber (not shown) that may then be introduced into the process chamber 310 through the gas manifold 334.


Silicon Layer Formation


A method of silicon layer deposition for thin film transistor applications is described. The silicon layer is deposited using a cyclical deposition process. The cyclical deposition process comprises alternately adsorbing a silicon-containing precursor and a reducing gas on a substrate structure. The silicon-containing precursor and the reducing gas react to form a silicon layer on the substrate.



FIG. 3 illustrates a process sequence 400 detailing the various steps used for the deposition of the silicon layer. These steps may be performed in a process chamber similar to that described above with reference to FIG. 2. As shown in step 402, a substrate is provided to the process chamber. The substrate may be for example, a glass or clear plastic material suitable for AMLCD fabrication. The process chamber conditions such as, for example, the temperature and pressure are adjusted to enhance the adsorption of the process gases on the substrate to facilitate the reaction of the silicon-containing precursor and the reducing gas. In general, for silicon layer deposition, the substrate should be maintained at a temperature between about 100° C. and about 600° C. at a process chamber pressure of between about 10 millitorr and about 10 torr.


In one embodiment where a constant carrier gas flow is desired, a carrier gas stream is established within the process chamber as indicated in step 404. Carrier gases may be selected so as to also act as a purge gas for removal of volatile reactants and/or by-products from the process chamber. Carrier gases such as, for example, helium (He), argon (Ar), and combinations thereof, may be used.


Referring to step 406, after the carrier gas stream is established within the process chamber, a pulse of a silicon-containing precursor is added to the carrier gas stream. The term pulse as used herein refers to a dose of material injected into the process chamber or into the carrier gas stream. The pulse of the silicon-containing precursor lasts for a predetermined time interval. The silicon-containing precursor may comprise, for example, silane (SiH4), disilane (Si2H6), silicon tetrachloride (SiCl4), dichlorosilane (Si2Cl2H2) and trichlorosilane (SiCl3H), among others.


The time interval for the pulse of the silicon-containing precursor is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto and the volatility/reactivity of the reactants used. For example, (1) a large-volume process chamber may lead to a longer time to stabilize the process conditions such as, for example, carrier/purge gas flow and temperature, requiring a longer pulse time; (2) a lower flow rate for the process gas may also lead to a longer time to stabilize the process conditions requiring a longer pulse time; and (3) a lower chamber pressure means that the process gas is evacuated from the process chamber more quickly requiring a longer pulse time. In general, the process conditions are advantageously selected so that a pulse of the silicon-containing precursor provides a sufficient amount of precursor, such that at least a monolayer of the silicon-containing precursor is adsorbed on the substrate. Thereafter, excess silicon-containing precursor remaining in the chamber may be removed from the process chamber by the constant carrier gas stream in combination with the vacuum system.


In step 408, after the excess silicon-containing precursor has been sufficiently removed from the process chamber by the carrier gas stream to prevent co-reaction or particle formation with a subsequently provided process gas, a pulse of a reducing gas is added to the carrier gas stream. Suitable reducing gases may include, for example, hydrogen (H2), borane (BH3) and diborane (B2H6), among others.


The pulse of the reducing gas also lasts for a predetermined time interval. In general, the time interval for the pulse of the reducing gas should be long enough to provide a sufficient amount of the reducing gas for reaction with the silicon-containing precursor that is already adsorbed on the substrate. Thereafter, excess reducing gas is flushed from the process chamber by the carrier gas stream.


Alternatively, a high frequency power, such as an RF power, may be applied to the substrate support pedestal 348 (FIG. 2) coincident with the pulse of the reducing gas (step 408) to generate a plasma comprising the reducing gas within the process chamber. The plasma is believed to enhance the reaction between the adsorbed silicon-containing precursor on the substrate and the reducing gas. The application of the high frequency power to the substrate support chamber may last for the same predetermined time interval as the time interval for the pulse of the reducing gas. In general, for silicon layer deposition, a high frequency power of about 0.2 W/mm2 to about 2 W/mm2 may be applied to the substrate support pedestal.


Steps 404 through 408 comprise one embodiment of a deposition cycle for a silicon layer. For such an embodiment, a constant flow of carrier gas is provided to the process chamber modulated by alternating periods of pulsing and non-pulsing where the periods of pulsing alternate between the silicon-containing precursor and the reducing gas along with the carrier gas stream, while the periods of non-pulsing include only the carrier gas stream.


The time interval for each of the pulses of the silicon-containing precursor and the reducing gas may have the same duration. That is the duration of the pulse of the silicon-containing precursor may be identical to the duration of the pulse of the reducing gas. For such an embodiment, a time interval (T1) for the pulse of the silicon-containing precursor is equal to a time interval (T2) for the pulse of the reducing gas.


Alternatively, the time interval for each of the pulses of the silicon-containing precursor and the reducing gas may have different durations. That is the duration of the pulse of the silicon-containing precursor may be shorter or longer than the duration of the pulse of the reducing gas. For such an embodiment, a time interval (T1) for the pulse of the silicon-containing precursor is different than a time interval (T2) for the pulse of the reducing gas.


In addition, the periods of non-pulsing between each of the pulses of the silicon-containing precursor and the reducing gas may have the same duration. That is the duration of the period of non-pulsing between each pulse of the silicon-containing precursor and each pulse of the reducing gas is identical. For such an embodiment, a time interval (T3) of non-pulsing between the pulse of the silicon-containing precursor and the pulse of the reducing gas is equal to a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the silicon-containing precursor. During the time periods of non-pulsing only the constant carrier gas stream is provided to the process chamber.


Alternatively, the periods of non-pulsing between each of the pulses of the silicon-containing precursor and the reducing gas may have different durations. That is the duration of the period of non-pulsing between each pulse of the silicon-containing precursor and each pulse of the reducing gas may be shorter or longer than the duration of the period of non-pulsing between each pulse of the reducing gas and the silicon-containing precursor. For such an embodiment, a time interval (T3) of non-pulsing between the pulse of the silicon-containing precursor and the pulse of the reducing gas is different from a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the silicon-containing precursor. During the time periods of non-pulsing only the constant carrier gas stream is provided to the process chamber.


Additionally, the time intervals for each pulse of the silicon-containing precursor, the reducing gas and the periods of non-pulsing therebetween for each deposition cycle may have the same duration. For such an embodiment, a time interval (T1) for the silicon-containing precursor, a time interval (T2) for the reducing gas, a time interval (T3) of non-pulsing between the pulse of the silicon-containing precursor and the pulse of the reducing gas and a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the silicon-containing precursor each have the same value for each subsequent deposition cycle. For example, in a first deposition cycle (C1), a time interval (T1) for the pulse of the silicon-containing precursor has the same duration as the time interval (T1) for the pulse of the silicon-containing precursor in subsequent deposition cycles (C2 . . . CN). Similarly, the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the silicon-containing precursor and the reducing gas in deposition cycle (C1) is the same as the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the silicon-containing precursor and the reducing gas in subsequent deposition cycles (C2 . . . CN), respectively.


Alternatively, the time intervals for at least one pulse of the silicon-containing precursor, the reducing gas and the periods of non-pulsing therebetween for one or more of the deposition cycles of the silicon layer deposition process may have different durations. For such an embodiment, one or more of the time intervals (T1) for the pulses of the silicon-containing precursor, the time intervals (T2) for the pulses of the reducing gas, the time intervals (T3) of non-pulsing between the pulse of the silicon-containing precursor and the pulse of the reducing gas and the time intervals (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the silicon-containing precursor may have different values for one or more subsequent deposition cycles of the silicon layer deposition process. For example, in a first deposition cycle (C1), the time interval (T1) for the pulse of the silicon-containing precursor may be longer or shorter than the time interval (T1) for the pulse of the silicon-containing precursor in a subsequent deposition cycle (C2 . . . CN). Similarly, the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the silicon-containing precursor and the pulse of the reducing gas in deposition cycle (C1) may be the same or different than the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the silicon-containing precursor and the reducing gas in subsequent deposition cycles (C2 . . . CN), respectively.


Referring to step 410, after each deposition cycle (steps 404 through 408) a total thickness of the silicon layer will be formed on the substrate. Depending on specific device requirements, subsequent deposition cycles may be needed to achieve a desired thickness. As such, steps 404 through 408 are repeated until the desired thickness for the silicon layer is achieved. Thereafter, when the desired thickness for the silicon layer is achieved the process is stopped as indicated by step 412.


In an alternate process sequence described with respect to FIG. 4, the silicon layer deposition cycle comprises separate pulses for each of the silicon-containing precursor, the reducing gas, and a purge gas. For such an embodiment, the silicon layer deposition sequence 500 includes providing a substrate to the process chamber (step 502), providing a first pulse of a purge gas to the process chamber (step 504), providing a pulse of a silicon-containing precursor to the process chamber (step 506), providing a second pulse of the purge gas to the process chamber (step 508), providing a pulse of a reducing gas to the process chamber (step 510), and then repeating steps 504 through 510 or stopping the deposition process (step 514) depending on whether a desired thickness for the silicon layer has been achieved (step 512).


Alternatively, a high frequency power, such as an RF power, may be applied to the substrate support pedestal 348 (FIG. 2) coincident with the pulse of the reducing gas (step 510) to generate a plasma comprising the reducing gas within the process chamber. The plasma is believed to enhance the reaction between the adsorbed silicon-containing precursor on the substrate and the reducing gas. The application of the high frequency power to the substrate support chamber may last for the same predetermined time interval as the time interval for the pulse of the reducing gas. In general, for silicon layer deposition, a high frequency power of about 0.2 W/mm2 to about 2 W/mm2 may be applied to the substrate support pedestal.


The time intervals for each of the pulses of the silicon-containing precursor, the reducing gas and the purge gas may have the same or different durations as discussed above with respect to FIG. 3. Alternatively, the time intervals for at least one pulse of the silicon-containing precursor, the reducing gas and the purge gas for one or more of the deposition cycles of the silicon layer deposition process may have different durations.


In FIGS. 3-4, the silicon layer deposition cycle is depicted as beginning with a pulse of the silicon-containing precursor followed by a pulse of the reducing gas. Alternatively, the silicon layer deposition cycle may start with a pulse of the reducing gas followed by a pulse of the silicon-containing precursor.


The reducing gas may become incorporated, at least in part, into the silicon layer. For example, hydrogen present in the reducing gas may become bound to the silicon, thereby forming a hydrogenated silicon (Si:H) layer.


The method of silicon layer deposition formed with the cyclical deposition process described above with respect to FIGS. 3-4, may also be used in conjunction with other deposition processes. For example, a silicon seed layer may be formed on a substrate using a cyclical deposition process. The silicon seed layer may have a thickness of less than about 50 Å. Thereafter, a bulk silicon layer may be formed on the silicon seed layer using a chemical vapor deposition (CVD) process. The bulk silicon layer may have a thickness up to about 2000 Å.


One exemplary process of depositing a silicon layer comprises alternately providing pulses of silane (SiH4) and pulses of hydrogen (H2). The silane (SiH4) may be provided to an appropriate flow control valve, for example, an electronic flow control valve, at a flow rate of between about 10 sccm (standard cubic centimeters per minute) and about 100 sccm, and thereafter pulsed for about 0.5 seconds or less. A carrier gas comprising argon (Ar) is provided along with the silane at a flow rate between about 10 sccm to about 100 sccm. The hydrogen (H2) may be provided to an appropriate flow control valve, for example, an electronic flow control valve, at a flow rate of between about 10 sccm and about 1000 sccm, and thereafter pulsed for about 0.5 seconds or less. A carrier gas comprising argon (Ar) is provided along with the reducing gas at a flow rate between about 10 sccm to about 1000 sccm. The substrate may be maintained at a chamber pressure between about 10 millitorr to about 10 torr. The above mentioned flow rates for the carrier gas, the silicon-containing precursor, and the reducing gas may be varied, depending upon the volume capacity of the process chamber 310.


The substrate temperature determines whether amorphous silicon or polycrystalline silicon material is formed. For example, if the substrate temperature is maintained between about 100° C. to about 400° C., an amorphous silicon layer is deposited on the substrate. However, if the substrate temperature is maintained between about 400° C. to about 600° C., a polycrystalline silicon layer will be deposited on the substrate. Furthermore, the amorphous silicon layer may be optionally converted to a polysilicon layer using, for example, a laser annealing process or a metal induced crystallization process, among others.


Another exemplary process of depositing a silicon layer comprises alternately providing pulses of a silicon-containing precursor, pulses of a dopant compound and pulses of a reducing gas. In one embodiment, the dopant compound may be mixed with the silicon-containing precursor and pulses of the silicon-containing precursor/dopant compound may be provided to the process chamber. The dopant compound may be an n-type dopant or a p-type dopant for the silicon layer. Each pulse of the silicon-containing precursor/dopant compound may comprise about 1% to about 10% of the dopant compound.


Referring to FIG. 5A, one or more pulses of the dopant compound 530 may be provided coincident with the pulses of the silicon-containing precursor 535. The time duration for each pulse of the dopant compound may last for a predetermined time interval that is less than the time interval for the pulse of the silicon-containing precursor, such that more than one pulse of the dopant compound may be provided coincident with a pulse of the silicon-containing precursor. The flow rate for the one or more pulses of the dopant compound may be, for example, about 1% to about 10% of the flow rate for the pulse of the silicon-containing precursor.


Alternatively, referring to FIG. 5B, one or more pulses of the dopant compound 540 may be provided coincident with the pulses of the reducing gas 545. The time duration for each pulse of the dopant compound may last for a predetermined time interval that is less than the time interval for the pulse of the reducing gas, such that more than one pulse of the dopant compound may be provided coincident with a pulse of the reducing gas. The flow rate for the one or more pulses of the dopant compound may be, for example, about 1% to about 10% of the flow rate for the pulse of the reducing gas.


Suitable n-type dopant compounds include, for example, arsenic-based compounds and phosphorus-based compounds, such as arsine (AsH3) and phosphine (PH3), among others. Suitable p-type dopant compounds include, for example, boron-based compounds such as, boron trihydride (BH3), among others.


Integrated Circuit Fabrication Processes


1. Bottom-Gate Thin Film Transistor



FIGS. 6A-6D illustrate cross-sectional schematic views of substrate structure 650 during different stages of a bottom-gate thin film transistor fabrication sequence incorporating a silicon layer formed using a cyclical deposition process. This transistor fabrication sequence is for a switch in an active matrix liquid crystal display (AMLCD) and this process depicts the formation of one of an array of switches used in an AMLCD. FIG. 6A, for example, illustrates a cross-sectional view of a substrate 600. The substrate 600 may comprise a material that is essentially optically transparent in the visible spectrum, such as, for example, glass or clear plastic, including soda-lime glass, borosilicate glass, or quartz glass. The substrate may be of varying shapes or dimensions. Typically, for thin film transistor applications, the substrate is a glass substrate with dimensions greater than about 500 mm×500 mm.


The substrate 600 may have an underlayer 602 thereon. The underlayer 602 may be an insulating material, for example, such as silicon dioxide (SiO2) or silicon nitride (SiN). The underlayer 602 may be formed using conventional deposition techniques.


A gate metal layer 604 is formed on the underlayer 602. The gate metal layer 604 comprises an electrically conductive layer that controls the movement of charge carriers within the thin film transistor. The gate metal layer 604 may comprise a metal such as, for example, aluminum (Al), tungsten (W), chromium (Cr), tantalum (Ta), or combinations thereof, among others. The gate metal layer 604 may be formed using conventional deposition, lithography and etching techniques.


A gate dielectric layer 608 is formed on the gate metal layer 604. The gate dielectric layer 608 may comprise, for example, silicon dioxide (SiO2), silicon nitride (SiN), aluminum oxide (Al2O3), tantalum oxide (Ta2O5), among others. Typically the gate dielectric material 608 has a thickness in the range of about 20 Angstroms to about 5000 Angstroms.


Referring to FIG. 6B, a silicon bulk layer 610 is deposited on the gate dielectric layer 608. The silicon bulk layer 610 may comprise amorphous silicon deposited using an embodiment of the cyclical deposition technique described above with reference to FIGS. 3-4. Silicon bulk layer 610 may be deposited to a thickness within a range of about 20 Angstroms to about 2000 Angstroms. The cyclical deposition techniques employed for the silicon bulk layer 610 deposition provide conformal step coverage on the gate dielectric material 608.


Alternatively, a silicon seed layer 609 may be deposited prior to the silicon bulk layer 610 deposition. For such an embodiment, the silicon seed layer may be formed using an embodiment of the cyclical deposition techniques described above with reference to FIGS. 3-4. Following the deposition of the silicon seed layer 609, the silicon bulk layer 610 may be deposited thereon using conventional deposition techniques such as, for example, chemical vapor deposition (CVD) or plasma enhanced chemical vapor deposition (PECVD).


Referring to FIG. 6C, an etch stop layer 612 may be deposited on silicon bulk layer 610. The etch stop layer 612 may comprise an insulating material such as, for example, silicon nitride (SiN). The etch stop layer 612 may be formed using, for example, plasma enhanced chemical vapor deposition, chemical vapor deposition, physical vapor deposition, or other conventional methods known to the art. The etch stop layer 612 and the silicon bulk layer 610 are lithographically patterned and etched using conventional techniques.


A doped silicon layer 614 is formed on the patterned etch stop layer 612 and silicon bulk layer 610. The doped silicon layer 614 may be deposited using an embodiment of the cyclical deposition process described above with reference to FIGS. 3-5. The doped silicon layer 614 may be deposited to a thickness within a range of about 10 Angstroms to about 100 Angstroms. The cyclical deposition techniques employed for the doped silicon layer 614 deposition provide conformal step coverage on the patterned etch stop layer 612 and the silicon bulk layer 610. The doped silicon layer 614 directly contacts portions of the silicon bulk layer 610, forming a semiconductor junction.


A conductive layer 618 is formed on the doped silicon layer 614. Conductive layer 618 may comprise a metal such as, for example, aluminum (Al), tungsten (W), molybdenum (Mo), chromium (Cr), tantalum (Ta), and combinations thereof, among others. The conductive layer 618 may be formed using conventional deposition techniques.


Referring to FIG. 6D, both the conductive layer 618 and the doped silicon layer 614 may be lithographically patterned to define a source region 614a and a drain region 614b as well as a source contact 618a and a drain contact 618b. The source 614a and drain 614b regions of the thin film transistor are separated from one another by the stop etch layer 612.


Thereafter, a passivation layer 620 may be deposited atop the substrate structure 650. Passivation layer 620 conformally coats exposed surfaces of gate dielectric layer 608, source contact 618a, drain contact 618b and etch stop layer 612. The passivation layer 620 is generally an insulator and may comprise, for example, silicon oxide or silicon nitride. The passivation layer 620 may be formed using conventional deposition techniques.


2. Top-Gate Thin Film Transistor



FIGS. 7A-7D illustrate cross-sectional schematic views of substrate structure 750 during different stages of a top-gate thin film transistor fabrication sequence incorporating a silicon layer formed using a cyclical deposition process. The top-gate thin film transistor may be, for example, a metal-oxide-semiconductor field effect transistor (MOSFET) or a junction field effect transistor (JFET). This transistor fabrication sequence is for a switch in an active matrix liquid crystal display (AMLCD) and this process depicts the formation of one of an array of switches used in an AMLCD.



FIG. 7A, for example, illustrates a cross-sectional view of a substrate 700. The substrate may comprise a material that is essentially optically transparent in the visible spectrum, such as, for example, glass or clear plastic, including soda-lime glass, borosilicate glass, or quartz. The substrate may have an underlayer 702 thereon. The underlayer 702 may be an insulating material, such as, for example, silicon dioxide (SiO2) or silicon nitride (SiN).


Referring to FIG. 7B, an n-type doped silicon layer 704n is deposited on the underlayer 702. The n-type doped silicon layer 704n may be formed using an embodiment of the cyclical deposition process described above with reference to FIGS. 3-5. Alternatively, the silicon layer may be a p-type doped layer.


After the n-type doped silicon layer 704n is formed selected portions thereof are ion implanted to form p-type doped regions 704p adjacent to n-type doped regions 704n, as shown in FIG. 7C. The interfaces between n-type regions 704n and p-type regions 704p are semiconductor junctions that support the ability of the thin film transistor to act as a switching device. By ion doping portions of semiconductor layer 704, one or more semiconductor junctions are formed, with an intrinsic electrical potential present across each junction.


Referring to FIG. 7D, a gate dielectric layer 708 is deposited on the n-type doped regions 704n and the p-type doped regions 704p. The gate dielectric layer 708 may comprise, for example, silicon dioxide (SiO2), silicon nitride (SiN), aluminum oxide (Al2O3), and tantalum pentoxide (Ta2O5), among others. The gate dielectric layer 708 may be formed using conventional deposition processes.


A gate metal layer 710 is deposited on the gate dielectric layer 708. The gate metal layer 710 comprises an electrically conductive layer that controls the movement of charge carriers within the thin film transistor. The gate metal layer 710 may comprise a metal such as, for example, aluminum (Al), tungsten (W), chromium (Cr), tantalum (Ta), or combinations thereof, among others. The gate metal layer 710 may be formed using conventional deposition techniques. After deposition, the gate metal layer 710 is patterned to define gates using conventional lithography and etching techniques.


After the gate metal layer 710 is formed, an interlayer dielectric 712 is formed thereon. The interlayer dielectric 712 may comprise, for example, an oxide such as silicon dioxide. Interlayer dielectric 712 may be formed using conventional deposition processes.


The interlayer dielectric 712 is patterned to expose the n-type doped regions 704n and the p-type doped regions 704p. The patterned regions of the interlayer dielectric 712 are filled with a conductive material to form contacts 720. The contacts 720 may comprise a metal such as, for example, aluminum (Al), tungsten (W), molybdenum (Mo), chromium (Cr), tantalum (Ta), and combinations thereof, among others. The contacts 720 may be formed using conventional deposition techniques.


Thereafter, a passivation layer 722 may be formed thereon in order to protect and encapsulate a completed thin film transistor 725. The passivation layer 722 is generally an insulator and may comprise, for example, silicon oxide or silicon nitride. The passivation layer 722 may be formed using conventional deposition techniques.


While FIGS. 7A-7D as well as the supporting discussion provide an embodiment in which the doped silicon layer 704 is an n-type silicon layer with p-type dopant ions implanted therein, one skilled in the art will recognize that other configurations are within the scope of the invention. For example, one may deposit a p-type silicon layer and implant n-type dopant ions in regions thereof.


Furthermore, it is within the scope of the invention to form other devices that have configurations of semiconductor layers that are different from those described with respect to FIGS. 6-7. For example, the switch for an AMLCD may be any variety of bipolar or unipolar transistor devices wherein a silicon layer is deposited using the cyclical deposition process described herein.


While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A method of forming a transistor for use in an active matrix liquid crystal display (AMLCD), comprising: (a) providing a substrate;(b) depositing one or more silicon layers on the substrate using a cyclical deposition process comprising a plurality of cycles, wherein each cycle comprises establishing a flow of an inert gas in a process chamber and modulating the flow of the inert gas with alternating periods of exposure to a silicon-containing precursor and a reducing gas; and(c) forming a gate metal layer on at least one of the one or more silicon layers.
  • 2. The method of claim 1 wherein the silicon-containing precursor comprises a compound selected from the group consisting of silane (SiH4), disilane (Si2H6), silicon tetrachloride (SiCl4, dichlorosilane (SiCl2H2) and trichlorosilane (SiCl3H).
  • 3. The method of claim 1 wherein the reducing gas is selected from the group consisting of hydrogen (H2), borane (BH3) and diborane (B2H6).
  • 4. The method of claim 1 wherein one or more dopant compounds are adsorbed on the substrate along with one of either the silicon-containing precursor and the reducing gas.
  • 5. The method of claim 4 wherein the one or more dopant compounds are selected from the group consisting of arsine, phosphine and boron trihydride.
  • 6. The method of claim 4 further comprising ion-implanting portions of the doped one or more silicon semiconductor layers in order to form a semiconductor junction.
  • 7. The method of claim 1 wherein the silicon layer deposition is performed at a temperature between about 100° C. and about 600° C.
  • 8. The method of claim 1 wherein one of the one or more silicon layers comprises a source region of the transistor.
  • 9. The method of claim 1 wherein one of the one or more silicon layers comprises a drain region of the transistor.
  • 10. The method of claim 1 further comprising forming a gate dielectric layer on the gate metal layer.
  • 11. The method of claim 1 wherein the reducing gas comprises a plasma.
  • 12. The method of claim 1 wherein the one or more silicon layers of step (b) are silicon seed layers and a silicon bulk layer is formed thereon.
  • 13. A method of forming a transistor for use in an active matrix liquid crystal display (AMLCD), comprising: providing a substrate; anddepositing one or more silicon layers on the substrate using a cyclical deposition process comprising a plurality of cycles, wherein each cycle comprises establishing a flow of an inert gas in a process chamber and modulating the flow of the inert gas with alternating periods of exposure to a silicon-containing precursor and a reducing gas.
  • 14. The method of claim 13 wherein the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, a period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and a period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor each have the same duration.
  • 15. The method of claim 13 wherein at least one of the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, a period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and a period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor has a different duration.
  • 16. The method of claim 13 wherein the period of exposure to the silicon-containing precursor during each deposition cycle of the cyclical deposition process has the same duration.
  • 17. The method of claim 13 wherein at least one period of exposure to the silicon-containing precursor for one or more deposition cycles of the cyclical deposition process has a different duration.
  • 18. The method of claim 13 wherein the period of exposure to the reducing gas during each deposition cycle of the cyclical deposition process has the same duration.
  • 19. The method of claim 13 wherein at least one period of exposure to the reducing gas for one or more deposition cycles of the cyclical deposition process has a different duration.
  • 20. The method of claim 13 wherein a period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas during each deposition cycle of the cyclical deposition process has the same duration.
  • 21. The method of claim 13 wherein at least one period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas for one or more deposition cycles of the cyclical deposition process has a different duration.
  • 22. The method of claim 13 wherein a period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor during each deposition cycle of the cyclical deposition process has the same duration.
  • 23. The method of claim 13 wherein at least one period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor for one or more deposition cycles of the cyclical deposition process has a different duration.
  • 24. The method of claim 13 wherein the silicon-containing precursor comprises a compound selected from the group consisting of silane (SiH4), disilane (Si2H6), silicon tetrachloride (SiCl4), dichlorosilane (SiCl2H2), and trichlorosilane (SiCl3H).
  • 25. The method of claim 13 wherein the reducing gas is selected from the group consisting of hydrogen (H2), borane (BH3) and diborane (B2H6).
  • 26. The method of claim 13 wherein one or more dopant compounds are adsorbed on the substrate along with one of either the silicon-containing precursor and the reducing gas.
  • 27. The method of claim 26 wherein the one or more dopant compounds are selected from the group consisting of arsine, phosphine and boron trihydride.
  • 28. The method of claim 26 further comprising ion-implanting portions of the one or more silicon semiconductor layers in order to form a semiconductor junction.
  • 29. The method of claim 13 wherein the silicon layer deposition is performed at a temperature between about 100° C. and about 600° C.
  • 30. The method of claim 13 wherein the reducing gas comprises a plasma.
  • 31. A method of forming a transistor for use in an active matrix liquid crystal display (AMLCD), comprising the steps of: providing a substrate; anddepositing one or more silicon layers on the substrate using a cyclical deposition process, wherein the cyclical deposition process includes a plurality of cycles, wherein each cycle comprises establishing a flow of an inert gas in a process chamber and modulating the flow of the inert gas with alternating periods of exposure to a silicon-containing precursor and a reducing gas, wherein there is a period of flow of the inert gas between a period of exposure to the silicon-containing gas and a period of exposure to the reducing gas and a period of flow of the inert gas between a period of exposure to the reducing gas and the period of exposure to the silicon-containing gas, and wherein the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, the period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and the period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor each have the same duration.
  • 32. The method of claim 31 wherein the period of exposure to the silicon-containing precursor during each deposition cycle of the cyclical deposition process has the same duration.
  • 33. The method of claim 31 wherein at least one period of exposure to the silicon-containing precursor for one or more deposition cycles of the cyclical deposition process has a different duration.
  • 34. The method of claim 31 wherein the period of exposure to the reducing gas during each deposition cycle of the cyclical deposition process has the same duration.
  • 35. The method of claim 31 wherein at least one period of exposure to the reducing gas for one or more deposition cycles of the cyclical deposition process has a different duration.
  • 36. The method of claim 31 wherein a period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the reducing gas during each deposition cycle of the cyclical deposition process has the same duration.
  • 37. The method of claim 31 wherein at least one period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the reducing gas during each deposition cycle of the cyclical deposition process has a different duration.
  • 38. The method of claim 31 wherein a period of flow of the inert gas between the period of exposure to the reducing gas and the silicon-containing precursor during each deposition cycle of the cyclical deposition process has the same duration.
  • 39. The method of claim 31 wherein at least one period of flow of the inert gas between the period of exposure to the reducing gas and the silicon-containing precursor for one or more deposition cycles of the cyclical deposition process has a different duration.
  • 40. The method of claim 31 wherein one or more dopant compounds are adsorbed on the substrate along with one of either the silicon-containing precursor and the reducing gas.
  • 41. The method of claim 31 wherein the reducing gas comprises a plasma.
  • 42. A method of forming a transistor for use in an active matrix liquid crystal display (AMLCD), comprising the steps of: providing a substrate; anddepositing one or more silicon layers on the substrate using a cyclical deposition process, wherein the cyclical deposition process includes a plurality of cycles, wherein each cycle comprises establishing a flow of an inert gas in a process chamber and modulating the flow of the inert gas with alternating periods of exposure to a silicon-containing precursor and a reducing gas, wherein there is a period of flow of the inert gas between a period of exposure to the silicon-containing gas and a period of exposure to the reducing gas and a period of flow of the inert gas between a period of exposure to the reducing gas and the period of exposure to the silicon-containing gas, and wherein at least one of the period of exposure the silicon-containing precursor, the period of exposure to the reducing gas, the period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and the period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor has a different duration.
  • 43. The method of claim 42 wherein the period of exposure to the silicon-containing precursor during each deposition cycle of the cyclical deposition process has the same duration.
  • 44. The method of claim 42 wherein at least one period of exposure to the silicon-containing precursor for one or more deposition cycles of the cyclical deposition process has a different duration.
  • 45. The method of claim 42 wherein the period of exposure to the reducing gas during each deposition cycle of the cyclical deposition process has the same duration.
  • 46. The method of claim 42 wherein at least one period of exposure to the reducing gas for one or more deposition cycles of the cyclical deposition process has a different duration.
  • 47. The method of claim 42 wherein a period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the reducing gas during each deposition cycle of the cyclical deposition process has the same duration.
  • 48. The method of claim 42 wherein at least one period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the reducing gas during each deposition cycle of the cyclical deposition process has a different duration.
  • 49. The method of claim 42 wherein a period of flow of the inert gas between the period of exposure to the reducing gas and the silicon-containing precursor during each deposition cycle of the cyclical deposition process has the same duration.
  • 50. The method of claim 42 wherein at least one period of flow of the inert gas between the period of exposure to the reducing gas and the silicon-containing precursor for one or more deposition cycles of the cyclical deposition process has a different duration.
  • 51. The method of claim 42 wherein one or more dopant compounds are adsorbed on the substrate along with one of either the silicon-containing precursor and the reducing gas.
  • 52. The method of claim 42 wherein the reducing gas comprises a plasma.
  • 53. A method of forming a transistor for use in an active matrix liquid crystal display (AMLCD), comprising the steps of: providing a substrate; anddepositing one or more silicon layers on the substrate using a cyclical deposition process, wherein the cyclical deposition process includes a plurality of cycles, wherein each cycle comprises establishing a flow of an inert gas in a process chamber and modulating the flow of the inert gas with alternating periods of exposure to a silicon-containing precursor and a reducing gas, wherein there is a period of flow of the inert gas between a period of exposure to the silicon-containing gas and a period of exposure to the reducing gas and a period of flow of the inert gas between a period of exposure to the reducing gas and the period of exposure to the silicon-containing gas, wherein the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, the period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and the period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor each have the same duration, and wherein the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, the period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and the period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor each have the same duration during each deposition cycle of the cyclical deposition process.
  • 54. The method of claim 53 wherein one or more dopant compounds are adsorbed on the substrate along with one of either the silicon-containing precursor and the reducing gas.
  • 55. The method of claim 53 wherein the reducing gas comprises a plasma.
  • 56. A method of forming a transistor for use in an active matrix liquid crystal display (AMLCD), comprising the steps of: providing a substrate; anddepositing one or more silicon layers on the substrate using a cyclical deposition process, wherein the cyclical deposition process includes a plurality of cycles, wherein each cycle comprises establishing a flow of an inert gas in a process chamber and modulating the flow of the inert gas with alternating periods of exposure to a silicon-containing precursor and a reducing gas, wherein there is a period of flow of the inert gas between a period of exposure to the silicon-containing gas and a period of exposure to the reducing gas and a period of flow of the inert gas between a period of exposure to the reducing gas and the period of exposure to the silicon-containing gas, wherein the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, the period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and the period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor each have the same duration, and wherein at least one of the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, the period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and the period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor has a different duration during one or more deposition cycles of the cyclical deposition process.
  • 57. The method of claim 56 wherein one or more dopant compounds are adsorbed on the substrate along with one of either the silicon-containing precursor and the reducing gas.
  • 58. The method of claim 56 wherein the reducing gas comprises a plasma.
  • 59. A method of forming a transistor for use in an active matrix liquid crystal display (AMLCD), comprising the steps of: providing a substrate; anddepositing one or more silicon layers on the substrate using a cyclical deposition process, wherein the cyclical deposition process includes a plurality of cycles, wherein each cycle comprises establishing a flow of an inert gas in a process chamber and modulating the flow of the inert gas with alternating periods of exposure to a silicon-containing precursor and a reducing gas, wherein there is a period of flow of the inert gas between a period of exposure to the silicon-containing gas and a period of exposure to the reducing gas and a period of flow of the inert gas between a period of exposure to the reducing gas and the period of exposure to the silicon-containing gas, wherein at least one of the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, the period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and the period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor has a different duration, and wherein the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, the period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and the period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor each have the same duration during each deposition cycle of the cyclical deposition process.
  • 60. The method of claim 59 wherein one or more dopant compounds are adsorbed on the substrate along with one of either the silicon-containing precursor and the reducing gas.
  • 61. The method of claim 59 wherein the reducing gas comprises a plasma.
  • 62. A method of forming a transistor for use in an active matrix liquid crystal display (AMLCD), comprising the steps of: providing a substrate; anddepositing one or more silicon layers on the substrate using a cyclical deposition process, wherein the cyclical deposition process includes a plurality of cycles, wherein each cycle comprises establishing a flow of an inert gas in a process chamber and modulating the flow of the inert gas with alternating periods of exposure to a silicon-containing precursor and a reducing gas, wherein there is a period of flow of the inert gas between a period of exposure to the silicon-containing gas and a period of exposure to the reducing gas and a period of flow of the inert gas between a period of exposure to the reducing gas and the period of exposure to the silicon-containing gas, wherein at least one of the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, the period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and the period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor has a different duration, and wherein at least one of the period of exposure to the silicon-containing precursor, the period of exposure to the reducing gas, the period of flow of the inert gas between the period of exposure to the silicon-containing precursor and the period of exposure to the reducing gas, and the period of flow of the inert gas between the period of exposure to the reducing gas and the period of exposure to the silicon-containing precursor has a different duration during one or more deposition cycles of the cyclical deposition process.
  • 63. The method of claim 62 wherein one or more dopant compounds are adsorbed on the substrate along with one of either the silicon-containing precursor and the reducing gas.
  • 64. The method of claim 62 wherein the reducing gas comprises a plasma.
  • 65. A method of forming a transistor for use in an active matrix liquid crystal display (AMLCD), comprising: positioning a substrate in a processing chamber;establishing a flow of an inert gas in the processing chamber;introducing a pulse of a silicon-containing precursor gas into the processing chamber;introducing a pulse of a doping gas into the processing chamber, wherein the pulse of the silicon-containing precursor gas and the pulse of the dopant gas overlap;generating a plasma of the silicon-containing precursor gas and the dopant gas by applying a high frequency HF power in the processing chamber;introducing a pulse of a purge gas into the processing chamber;introducing a pulse of a reducing gas into the processing chamber to form a silicon-containing layer; andintroducing a pulse of a second purge gas into the processing chamber.
  • 66. The method of claim 65, wherein the reducing gas is selected from the group consisting of hydrogen, borane, diborane, and derivatives thereof.
  • 67. The method of claim 65, wherein the silicon-containing precursor gas comprises a compound selected from the group consisting of silane, disilane, silicon tetrachloride, dichlorosilane, and trichlorosilane.
  • 68. The method of claim 65, wherein the high frequency RF power is between about 0.2 W/mm2 and about 2 W/mm2.
  • 69. The method of claim 65, wherein the high frequency RF power is about 13.56 MHz.
  • 70. The method of claim 65, wherein the silicon-containing layer is an amorphous silicon layer.
  • 71. The method of claim 65, wherein the doping gas is selected from the group consisting of arsine, phosphine, and boron trihydride.
  • 72. The method of claim 65, wherein the substrate is an optically transparent material with dimensions greater than about 500 mm×500 mm.
US Referenced Citations (268)
Number Name Date Kind
4058430 Suntola et al. Nov 1977 A
4389973 Suntola et al. Jun 1983 A
4413022 Suntola et al. Nov 1983 A
4486487 Skarp Dec 1984 A
4767494 Kobayashi et al. Aug 1988 A
4806321 Nishizawa et al. Feb 1989 A
4813846 Helms Mar 1989 A
4829022 Kobayashi et al. May 1989 A
4834831 Nishizawa et al. May 1989 A
4838983 Schumaker et al. Jun 1989 A
4838993 Aoki et al. Jun 1989 A
4840921 Matsumoto Jun 1989 A
4845049 Sunakawa Jul 1989 A
4859625 Matsumoto Aug 1989 A
4859627 Sunakawa Aug 1989 A
4861417 Mochizuki et al. Aug 1989 A
4876218 Pessa et al. Oct 1989 A
4917556 Stark et al. Apr 1990 A
4927670 Erbil May 1990 A
4931132 Aspnes et al. Jun 1990 A
4951601 Maydan et al. Aug 1990 A
4960720 Shimbo Oct 1990 A
4975252 Nishizawa et al. Dec 1990 A
4993357 Scholz Feb 1991 A
5000113 Wang et al. Mar 1991 A
5013683 Petroff et al. May 1991 A
5028565 Chang et al. Jul 1991 A
5082798 Arimoto Jan 1992 A
5085885 Foley et al. Feb 1992 A
5091320 Aspnes et al. Feb 1992 A
5112439 Reisman et al. May 1992 A
5130269 Kitahara et al. Jul 1992 A
5166092 Mochizuki et al. Nov 1992 A
5173474 Connell et al. Dec 1992 A
5186718 Tepman et al. Feb 1993 A
5205077 Wittstock Apr 1993 A
5225366 Yoder Jul 1993 A
5234561 Randhawa et al. Aug 1993 A
5246536 Nishizawa et al. Sep 1993 A
5250148 Nishizawa et al. Oct 1993 A
5254207 Nishizawa et al. Oct 1993 A
5256244 Ackerman Oct 1993 A
5259881 Edwards et al. Nov 1993 A
5270247 Sakuma et al. Dec 1993 A
5273930 Steele et al. Dec 1993 A
5278435 Van Hove et al. Jan 1994 A
5281274 Yoder Jan 1994 A
5286296 Sato et al. Feb 1994 A
5288658 Ishihara Feb 1994 A
5290748 Knuuttila et al. Mar 1994 A
5294286 Nishizawa et al. Mar 1994 A
5296403 Nishizawa et al. Mar 1994 A
5300186 Kitahara et al. Apr 1994 A
5311055 Goodman et al. May 1994 A
5316615 Copel et al. May 1994 A
5316793 Wallace et al. May 1994 A
5330610 Eres et al. Jul 1994 A
5332689 Sandhu et al. Jul 1994 A
5336324 Stall et al. Aug 1994 A
5338389 Nishizawa et al. Aug 1994 A
5348911 Jurgensen et al. Sep 1994 A
5372860 Fehlner et al. Dec 1994 A
5374570 Nasu et al. Dec 1994 A
5395791 Cheng et al. Mar 1995 A
5438952 Otsuka Aug 1995 A
5439876 Graf et al. Aug 1995 A
5441703 Jurgensen Aug 1995 A
5443033 Nishizawa et al. Aug 1995 A
5443647 Aucoin et al. Aug 1995 A
5455072 Bension et al. Oct 1995 A
5458084 Thorne et al. Oct 1995 A
5469806 Mochizuki et al. Nov 1995 A
5480818 Matsumoto et al. Jan 1996 A
5483919 Yokoyama et al. Jan 1996 A
5484664 Kitahara et al. Jan 1996 A
5503875 Imai et al. Apr 1996 A
5521126 Okamura et al. May 1996 A
5527733 Nishizawa et al. Jun 1996 A
5532511 Nishizawa et al. Jul 1996 A
5540783 Eres et al. Jul 1996 A
5580380 Liu et al. Dec 1996 A
5601651 Watabe Feb 1997 A
5609689 Kato et al. Mar 1997 A
5616181 Yamamoto et al. Apr 1997 A
5637530 Gaines et al. Jun 1997 A
5641984 Aftergut et al. Jun 1997 A
5644128 Wollnik et al. Jul 1997 A
5667592 Boitnott et al. Sep 1997 A
5674304 Fukada et al. Oct 1997 A
5674786 Turner et al. Oct 1997 A
5693139 Nishizawa et al. Dec 1997 A
5695564 Imahashi Dec 1997 A
5705224 Murota et al. Jan 1998 A
5707880 Aftergut et al. Jan 1998 A
5711811 Suntola et al. Jan 1998 A
5730801 Tepman et al. Mar 1998 A
5730802 Ishizumi et al. Mar 1998 A
5747113 Tsai May 1998 A
5749974 Habuka et al. May 1998 A
5788447 Yonemitsu et al. Aug 1998 A
5788799 Steger et al. Aug 1998 A
5796116 Nakata et al. Aug 1998 A
5801634 Young et al. Sep 1998 A
5807792 Ilg et al. Sep 1998 A
5830270 McKee et al. Nov 1998 A
5835677 Li et al. Nov 1998 A
5851849 Comizzoli et al. Dec 1998 A
5855675 Doering et al. Jan 1999 A
5855680 Soininen et al. Jan 1999 A
5856219 Naito et al. Jan 1999 A
5858102 Tsai Jan 1999 A
5866213 Foster et al. Feb 1999 A
5866795 Wang et al. Feb 1999 A
5879459 Gadgil et al. Mar 1999 A
5882165 Maydan et al. Mar 1999 A
5882413 Beaulieu et al. Mar 1999 A
5904565 Nguyen et al. May 1999 A
5906680 Meyerson May 1999 A
5916365 Sherman Jun 1999 A
5923056 Lee et al. Jul 1999 A
5923985 Aoki et al. Jul 1999 A
5925574 Aoki et al. Jul 1999 A
5928389 Jevtic Jul 1999 A
5942040 Kim et al. Aug 1999 A
5947710 Cooper et al. Sep 1999 A
5972430 DiMeo, Jr. et al. Oct 1999 A
6001669 Gaines et al. Dec 1999 A
6015590 Suntola et al. Jan 2000 A
6025627 Forbes et al. Feb 2000 A
6036773 Wang et al. Mar 2000 A
6042652 Hyun et al. Mar 2000 A
6042654 Comita et al. Mar 2000 A
6043177 Falconer et al. Mar 2000 A
6051286 Zhao et al. Apr 2000 A
6062798 Muka May 2000 A
6071808 Merchant et al. Jun 2000 A
6084302 Sandhu Jul 2000 A
6086677 Umotoy et al. Jul 2000 A
6110556 Bang et al. Aug 2000 A
6113977 Soininen et al. Sep 2000 A
6117244 Bang et al. Sep 2000 A
6124158 Dautartas et al. Sep 2000 A
6130147 Major et al. Oct 2000 A
6139700 Kang et al. Oct 2000 A
6140237 Chan et al. Oct 2000 A
6140238 Kitch Oct 2000 A
6143659 Leem Nov 2000 A
6144060 Park et al. Nov 2000 A
6158446 Mohindra et al. Dec 2000 A
6159852 Nuttall et al. Dec 2000 A
6162716 Yu et al. Dec 2000 A
6174377 Doering et al. Jan 2001 B1
6174809 Kang et al. Jan 2001 B1
6200893 Sneh Mar 2001 B1
6203613 Gates et al. Mar 2001 B1
6206967 Mak et al. Mar 2001 B1
6207302 Sugiura et al. Mar 2001 B1
6232196 Raaijmakers et al. May 2001 B1
6248605 Harkonen et al. Jun 2001 B1
6270572 Kim et al. Aug 2001 B1
6271148 Kao et al. Aug 2001 B1
6284686 Marlor Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6291319 Yu et al. Sep 2001 B1
6291876 Stumborg et al. Sep 2001 B1
6305314 Sneh et al. Oct 2001 B1
6306216 Kim et al. Oct 2001 B1
6316098 Yitzchaik et al. Nov 2001 B1
6338990 Yanai et al. Jan 2002 B1
6342277 Sherman Jan 2002 B1
6348420 Raaijmakers et al. Feb 2002 B1
6352945 Matsuki et al. Mar 2002 B1
6358829 Yoon et al. Mar 2002 B2
6383955 Matsuki et al. May 2002 B1
6391803 Kim et al. May 2002 B1
6410463 Matsuki Jun 2002 B1
6416822 Chiang et al. Jul 2002 B1
6451119 Sneh et al. Sep 2002 B2
6458416 Derderian et al. Oct 2002 B1
6458718 Todd Oct 2002 B1
6462367 Marsh et al. Oct 2002 B2
6468924 Lee et al. Oct 2002 B2
6489241 Thilderkvist et al. Dec 2002 B1
6492283 Raaijmakers et al. Dec 2002 B2
6534395 Werkhoven et al. Mar 2003 B2
6544900 Raaijmakers et al. Apr 2003 B2
6559520 Matsuki et al. May 2003 B2
6562720 Thilderkvist et al. May 2003 B2
6627260 Derderian et al. Sep 2003 B2
6632279 Ritala et al. Oct 2003 B1
6702027 Olson et al. Mar 2004 B2
6780704 Raaijmakers et al. Aug 2004 B1
6797558 Nuttall et al. Sep 2004 B2
6821563 Yudovsky Nov 2004 B2
6821825 Todd et al. Nov 2004 B2
6825134 Law et al. Nov 2004 B2
6838114 Carpenter et al. Jan 2005 B2
6846516 Yang et al. Jan 2005 B2
6869838 Law et al. Mar 2005 B2
6958253 Todd Oct 2005 B2
20010000866 Sneh et al. May 2001 A1
20010009140 Bondestam et al. Jul 2001 A1
20010011526 Doering et al. Aug 2001 A1
20010020712 Raaijmakers et al. Sep 2001 A1
20010024387 Raaijmaker et al. Sep 2001 A1
20010024871 Yagi Sep 2001 A1
20010028924 Sherman Oct 2001 A1
20010031562 Raaijmakers et al. Oct 2001 A1
20010034123 Jeon et al. Oct 2001 A1
20010041250 Werkhoven et al. Nov 2001 A1
20010042799 Kim et al. Nov 2001 A1
20010046567 Matsuki et al. Nov 2001 A1
20010050039 Park Dec 2001 A1
20010055672 Todd Dec 2001 A1
20020031618 Sherman Mar 2002 A1
20020047151 Kim et al. Apr 2002 A1
20020066411 Chiang et al. Jun 2002 A1
20020074588 Lee Jun 2002 A1
20020076837 Hujanen et al. Jun 2002 A1
20020081844 Jeon et al. Jun 2002 A1
20020090818 Thilderkvist et al. Jul 2002 A1
20020093042 Oh et al. Jul 2002 A1
20020098627 Pomarede et al. Jul 2002 A1
20020145168 Bojarczuk et al. Oct 2002 A1
20020168868 Todd Nov 2002 A1
20020172768 Endo et al. Nov 2002 A1
20020173113 Todd Nov 2002 A1
20020173130 Pomarede et al. Nov 2002 A1
20020197831 Todd et al. Dec 2002 A1
20020197881 Ramdani et al. Dec 2002 A1
20030003635 Paranjpe et al. Jan 2003 A1
20030013320 Kim et al. Jan 2003 A1
20030015764 Raaijmaker et al. Jan 2003 A1
20030022528 Todd Jan 2003 A1
20030032281 Werkhoven et al. Feb 2003 A1
20030036268 Brabant et al. Feb 2003 A1
20030060057 Raaijmaker et al. Mar 2003 A1
20030070617 Kim et al. Apr 2003 A1
20030072975 Shero et al. Apr 2003 A1
20030082300 Todd et al. May 2003 A1
20030089942 Haukka et al. May 2003 A1
20030116804 Visokay et al. Jun 2003 A1
20030129826 Werkhoven et al. Jul 2003 A1
20030160277 Bhattacharyya Aug 2003 A1
20030173586 Moriwaki et al. Sep 2003 A1
20030185980 Endo Oct 2003 A1
20030186561 Law et al. Oct 2003 A1
20030188682 Tois et al. Oct 2003 A1
20030194853 Jeon Oct 2003 A1
20040007747 Visokay et al. Jan 2004 A1
20040009307 Koh et al. Jan 2004 A1
20040009675 Eissa et al. Jan 2004 A1
20040016973 Rotondaro et al. Jan 2004 A1
20040023462 Rotondaro et al. Feb 2004 A1
20040033698 Lee et al. Feb 2004 A1
20040043149 Gordon et al. Mar 2004 A1
20040043569 Ahn et al. Mar 2004 A1
20040053484 Kumar et al. Mar 2004 A1
20040129212 Gadgil et al. Jul 2004 A1
20040202786 Wongsenakhum et al. Oct 2004 A1
20040213908 Derderian et al. Oct 2004 A1
20040224089 Singh et al. Nov 2004 A1
20040226911 Dutton et al. Nov 2004 A1
20040247788 Fang et al. Dec 2004 A1
20040253776 Hoffmann et al. Dec 2004 A1
20050023625 Ahn et al. Feb 2005 A1
20050079691 Kim et al. Apr 2005 A1
20050208740 Todd Sep 2005 A1
Foreign Referenced Citations (209)
Number Date Country
196 27 017 Jan 1997 DE
198 20 147 Jul 1999 DE
0 344 352 Jun 1988 EP
0 442 290 Feb 1991 EP
0 429 270 May 1991 EP
0 799 641 Oct 1997 EP
1 150 345 Apr 2001 EP
2.626.110 Jul 1989 FR
2.692.597 Dec 1993 FR
2 355 727 May 2001 GB
58-098917 Jun 1983 JP
58-100419 Jun 1983 JP
60-065712 Apr 1985 JP
61-035847 Feb 1986 JP
61-210623 Sep 1986 JP
61 229319 Oct 1986 JP
62-069508 Mar 1987 JP
62-091495 Apr 1987 JP
62-141717 Jun 1987 JP
62-167297 Jul 1987 JP
62-171999 Jul 1987 JP
62-232919 Oct 1987 JP
63-062313 Mar 1988 JP
63-085098 Apr 1988 JP
63-090833 Apr 1988 JP
63-222420 Sep 1988 JP
63-222421 Sep 1988 JP
63-227007 Sep 1988 JP
63-252420 Oct 1988 JP
63-266814 Nov 1988 JP
64-009895 Jan 1989 JP
64-009896 Jan 1989 JP
64-009897 Jan 1989 JP
64-037832 Feb 1989 JP
64-082615 Mar 1989 JP
64-082617 Mar 1989 JP
64-082671 Mar 1989 JP
64-082676 Mar 1989 JP
01-103982 Apr 1989 JP
01-103996 Apr 1989 JP
64-090524 Apr 1989 JP
01-117017 May 1989 JP
01-143221 Jun 1989 JP
01-143233 Jun 1989 JP
01-154511 Jun 1989 JP
01-236657 Sep 1989 JP
01-245512 Sep 1989 JP
01-264218 Oct 1989 JP
01-270593 Oct 1989 JP
01-272108 Oct 1989 JP
01-290221 Nov 1989 JP
01-290222 Nov 1989 JP
01-296673 Nov 1989 JP
01-303770 Dec 1989 JP
01-305894 Dec 1989 JP
01-313927 Dec 1989 JP
02-012814 Jan 1990 JP
02-014513 Jan 1990 JP
02-017634 Jan 1990 JP
02-063115 Mar 1990 JP
02-074029 Mar 1990 JP
02-074587 Mar 1990 JP
02-106822 Apr 1990 JP
02-129913 May 1990 JP
02-162717 Jun 1990 JP
02-172895 Jul 1990 JP
02-196092 Aug 1990 JP
02-203517 Aug 1990 JP
02-230690 Sep 1990 JP
02-230722 Sep 1990 JP
02-246161 Oct 1990 JP
02-264491 Oct 1990 JP
02-283084 Nov 1990 JP
02-304916 Dec 1990 JP
03-019211 Jan 1991 JP
03-022569 Jan 1991 JP
03-023294 Jan 1991 JP
03-023299 Jan 1991 JP
03-044967 Feb 1991 JP
03-048421 Mar 1991 JP
03-070124 Mar 1991 JP
03-185716 Aug 1991 JP
03-208885 Sep 1991 JP
03-234025 Oct 1991 JP
03-286522 Dec 1991 JP
03-286531 Dec 1991 JP
04-031391 Feb 1992 JP
04-031396 Feb 1992 JP
04-100292 Apr 1992 JP
04-111418 Apr 1992 JP
04-132214 May 1992 JP
04-132681 May 1992 JP
04151822 May 1992 JP
04-162418 Jun 1992 JP
04-175299 Jun 1992 JP
04-186824 Jul 1992 JP
04-212411 Aug 1992 JP
04-260696 Sep 1992 JP
04-273120 Sep 1992 JP
04-285167 Oct 1992 JP
04-291916 Oct 1992 JP
04-325500 Nov 1992 JP
04-328874 Nov 1992 JP
05-029228 Feb 1993 JP
05-047665 Feb 1993 JP
05-047666 Feb 1993 JP
05-047668 Feb 1993 JP
05-074717 Mar 1993 JP
05-074724 Mar 1993 JP
05-102189 Apr 1993 JP
05-160152 Jun 1993 JP
05-175143 Jul 1993 JP
05-175145 Jul 1993 JP
05-182906 Jul 1993 JP
05-186295 Jul 1993 JP
05-206036 Aug 1993 JP
05-234899 Sep 1993 JP
05-235047 Sep 1993 JP
05-251339 Sep 1993 JP
05-270997 Oct 1993 JP
05-283336 Oct 1993 JP
05-291152 Nov 1993 JP
05-304334 Nov 1993 JP
05-343327 Dec 1993 JP
05-343685 Dec 1993 JP
06-045606 Feb 1994 JP
06-132236 May 1994 JP
06-177381 Jun 1994 JP
06-196809 Jul 1994 JP
06-222388 Aug 1994 JP
06-224138 Aug 1994 JP
06-230421 Aug 1994 JP
06-252057 Sep 1994 JP
06-291048 Oct 1994 JP
07-070752 Mar 1995 JP
07-086269 Mar 1995 JP
08-181076 Jul 1996 JP
08-245291 Sep 1996 JP
08-264530 Oct 1996 JP
09-260786 Oct 1997 JP
09-293681 Nov 1997 JP
10-188840 Jul 1998 JP
10-190128 Jul 1998 JP
10-308283 Nov 1998 JP
11-269652 Oct 1999 JP
2000-031387 Jan 2000 JP
2000-058777 Feb 2000 JP
2000-068072 Mar 2000 JP
2000-087029 Mar 2000 JP
2000-319772 Mar 2000 JP
2000-138094 May 2000 JP
2000-218445 Aug 2000 JP
2000-319772 Nov 2000 JP
2000-340883 Dec 2000 JP
2000-353666 Dec 2000 JP
2001-020075 Jan 2001 JP
2001-62244 Mar 2001 JP
2001-111000 Apr 2001 JP
2001-152339 Jun 2001 JP
2001-172767 Jun 2001 JP
2001-189312 Jul 2001 JP
2001-217206 Aug 2001 JP
2001-220287 Aug 2001 JP
2001-220294 Aug 2001 JP
2001-240972 Sep 2001 JP
2001-254181 Sep 2001 JP
2001-284042 Oct 2001 JP
2001-303251 Oct 2001 JP
2001-328900 Nov 2001 JP
9002216 Mar 1990 WO
9110510 Jul 1991 WO
9302111 Feb 1993 WO
9617107 Jun 1996 WO
9618756 Jun 1996 WO
9806889 Feb 1998 WO
WO 9820524 May 1998 WO
9851838 Nov 1998 WO
9913504 Mar 1999 WO
9929924 Jun 1999 WO
9941423 Aug 1999 WO
0011721 Mar 2000 WO
0015865 Mar 2000 WO
0015881 Mar 2000 WO
0016377 Mar 2000 WO
0054320 Sep 2000 WO
WO 0054320 Sep 2000 WO
0063957 Oct 2000 WO
0079019 Dec 2000 WO
0079576 Dec 2000 WO
0115220 Mar 2001 WO
0115220 Mar 2001 WO
0127346 Apr 2001 WO
0127347 Apr 2001 WO
0129280 Apr 2001 WO
0129891 Apr 2001 WO
0129893 Apr 2001 WO
0136702 May 2001 WO
0140541 Jun 2001 WO
WO 0141544 Jun 2001 WO
0166832 Sep 2001 WO
WO 0243115 May 2002 WO
WO 0245167 Jun 2002 WO
WO 02064853 Aug 2002 WO
WO 02065508 Aug 2002 WO
WO 02065516 Aug 2002 WO
WO 02065517 Aug 2002 WO
WO 02065525 Aug 2002 WO
WO 02080244 Oct 2002 WO
WO 02097864 Dec 2002 WO
Related Publications (1)
Number Date Country
20030189208 A1 Oct 2003 US