Device modified substrate article and methods for making

Information

  • Patent Grant
  • 11192340
  • Patent Number
    11,192,340
  • Date Filed
    Thursday, January 2, 2020
    5 years ago
  • Date Issued
    Tuesday, December 7, 2021
    3 years ago
Abstract
A method of making a device substrate article having a device modified substrate supported on a glass carrier substrate, including: treating at least a portion of the first surface of a device substrate, at least a portion of a first surface of a glass carrier, or a combination thereof, wherein the treating produces a surface having: silicon; oxygen; carbon; and fluorine amounts; and a metal to fluorine ratio as defined herein;contacting the treated surface with an untreated or like-treated counterpart device substrate or glass carrier substrate to form a laminate comprised of the device substrate bonded to the glass carrier substrate;modifying at least a portion of the non-bonded second surface of the device substrate of the laminate with at least one device surface modification treatment; andseparating the device substrate having the device modified second surface from the glass carrier substrate.
Description
BACKGROUND

The disclosure relates to a laminate article, the manufacture and use of the laminate article, and a glass sheet article or non-glass sheet article having a device modified surface for use in or as, for example, an electrical or an electronic device. Additionally, the disclosure relates to a method for bonding and debonding a glass sheet article or non-glass sheet article and a carrier substrate.


SUMMARY

In embodiments, the disclosure provides a glass device substrate or non-glass device substrate having a device modified surface.


In embodiments, the disclosure provides a laminate article comprising a glass device substrate work piece reversibly bonded to a reusable carrier, the laminate having a silicon and oxygen depleted and fluorine and carbon enriched glass surface at the interface.


In embodiments, the disclosure provides a method for bonding and debonding a laminate comprising a glass device substrate work piece and a carrier substrate for the work piece.


In embodiments, the disclosure provides a method for forming a moderate or intermediate strength bond or adhesion between a carrier and a thin glass device substrate to create a temporary bond, which temporary bond is sufficiently strong to survive, for example, thin film transistors (TFT) processing, but the bond is sufficiently weak to permit timely debonding or separation of the carrier substrate and the glass device substrate after surface processing of the device substrate is completed. The intermediate strength bond or moderate bonding property enables a re-usable carrier function and manufacturing cycle. The moderate bonding property can be, for example, in one or more portions of the area between the carrier substrate and the device substrate work piece, or the entire area between the carrier substrate and the device substrate work piece.





BRIEF DESCRIPTION OF THE DRAWINGS

In embodiments of the disclosure:



FIG. 1 shows a schematic of the disclosed reusable carrier process (100).



FIGS. 2A and 2B show the change in contact angle (FIG. 2A) and surface energy (FIG. 2B) for CF4 and CHF3 plasma polymer treated glass surfaces.



FIGS. 3A and 3B show surface concentrations of fluorine (310) and carbon (320) and oxygen (330), (FIG. 3A) as measured by XPS and the concentration of inorganic fluorine atoms (bonded to metal) (340) and the concentration of organic fluorine atoms (in fluoropolymer) (350) (FIG. 3B) as measured by XPS for fluorocarbon plasma treated glass surfaces treated with different mol fractions of CF4 and CHF3.



FIG. 4 shows changes in the glass surface elemental composition in atomic % as measured by XPS with increasing polymer forming plasma treatment times for separate CHF3 or CF4 plasma treatments.



FIGS. 5A to 5B show the change in adhesion or bond energy as a function of the anneal temperature (FIG. 5A) and anneal time (FIG. 5B) for selected surface treatments.



FIG. 6 is a top view of a thin sheet and carrier, having interposers.



FIG. 7 is a cross-sectional view of the thin sheet and carrier as taken along line 7-7 of FIG. 6.



FIG. 8 is a cross-sectional view, similar to that in FIG. 20, but having additional devices disposed on the thin sheet.





DETAILED DESCRIPTION

Various embodiments of the disclosure will be described in detail with reference to drawings, if any. Reference to various embodiments does not limit the scope of the invention, which is limited only by the scope of the claims attached hereto. Additionally, any examples set forth in this specification are not limiting and merely set forth some of the many possible embodiments of the claimed invention. Definitions


“At %,” “at %,” or like refer to atom or atomic percentage.


“Temporary bond” refers to a non-destructive and reversible adhesion between a glass device substrate and the carrier substrate of the disclosed laminate, which bond is sufficient to survive further surface modification processing, but which bond can be disrupted with, for example, a mechanical force to permit separation of the processed thin glass device substrate and the carrier substrate.


“Thin glass device substrate” refers to, for example, Corning® Willow® glass, and having thicknesses as defined herein.


“Include,” “includes,” or like terms means encompassing but not limited to, that is, inclusive and not exclusive.


“About” modifying, for example, the quantity of an ingredient in a composition, concentrations, volumes, process temperature, process time, yields, flow rates, pressures, viscosities, and like values, and ranges thereof, or a dimension of a component, and like values, and ranges thereof, employed in describing the embodiments of the disclosure, refers to variation in the numerical quantity that can occur, for example: through typical measuring and handling procedures used for preparing materials, compositions, composites, concentrates, component parts, articles of manufacture, or use formulations; through inadvertent error in these procedures; through differences in the manufacture, source, or purity of starting materials or ingredients used to carry out the methods; and like considerations. The term “about” also encompasses amounts that differ due to aging of a composition or formulation with a particular initial concentration or mixture, and amounts that differ due to mixing or processing a composition or formulation with a particular initial concentration or mixture.


“Optional” or “optionally” means that the subsequently described event or circumstance can or cannot occur, and that the description includes instances where the event or circumstance occurs and instances where it does not.


The indefinite article “a” or “an” and its corresponding definite article “the” as used herein means at least one, or one or more, unless specified otherwise.


Abbreviations, which are well known to one of ordinary skill in the art, may be used (e.g., “h” or “hrs” for hour or hours, “g” or “gm” for gram(s), “mL” for milliliters, and “rt” for room temperature, “nm” for nanometers, and like abbreviations).


Specific and preferred values disclosed for components, ingredients, additives, dimensions, conditions, times, and like aspects, and ranges thereof, are for illustration only; they do not exclude other defined values or other values within defined ranges. The apparatus and methods of the disclosure can include any value or any combination of the values, specific values, more specific values, and preferred values described herein, including explicit or implicit intermediate values and ranges.


In embodiments, the disclosure provides a method of forming a temporary bond by surface modification, which surface modification creates moderate or intermediate adhesion between at least a portion of the surface of a work piece substrate and at least a portion of the surface of the glass carrier substrate to produce a laminate for use in making a device modified substrate from the work piece substrate.


The temporary bonding condition in the laminate eliminates waste and can reduce manufacturing costs by permitting manufacturers to re-use the carrier member for device fabrication on the thin glass sheet as schematically shown in FIG. 1.


In embodiments, the disclosure provides a method of making a laminate article that includes: forming a temporary bond between at least a portion of a work piece, such as a glass sheet, a glass substrate, or a non-glass substrate (e.g., a flexible glass sheet or silicon wafer), and a glass carrier substrate.


Forming the temporary bond can be accomplished by, for example, treating to effect a surface modification, which surface modification creates moderate adhesion between the work piece and the carrier substrate.


The intermediate or moderate adhesion can be achieved by depletion of the surface layer of silicon and oxygen atoms and the enrichment of the surface layer of fluorine and carbon atoms. The depletion of silicon atoms also leads to a relative enrichment of other cations in glass work piece or carrier substrate, which other cations are not depleted with the silicon atoms. For example, depletion of silicon atoms such as in silica in the treated surface layer leads to a relative enrichment in alumina and alkaline earth atoms. In embodiments, the modified surface can be formed by, for example, an etch process. However, the deposition or etch processes can deplete a glass work piece's surface of silica, boron, and other glass components, and consequently can enrich the glass work piece's surface in alkaline earths and fluorine reaction products in a relative sense.


The surface energy of the modified surface is adjustable between, for example, Teflon® and glass depending on the relative ratio of etch gas to polymer former. In a preferred embodiment, exposure to a plasma containing a high ratio of etch gas to polymer former can create a highly polar surface having a sparse coverage of carbon based polymers on a modified glass surface where most metal to oxygen bonds have been replaced by metal to fluorine bonds. This highly polar surface exhibits a high energy surface which readily bonds with glass provided that the surface roughness is small, for example, below about 2 nm. The temporary bonding preferably is strong enough to survive flat panel display (FPD) processing, including, for example, wet ultrasonic, vacuum, and thermal processes, and remain de-bondable by application of a sufficient peeling force. De-bonding permits disintegration of the laminate, that is separation of the glass carrier substrate from the processed thin glass sheet having, for example, devices or structure on the surface of the thin glass sheet resulting from intermediate processing. The separated glass carrier, if desired, can be repeatedly re-used in numerous cycles of the disclosed method.


In embodiments, the disclosed method uses moderate bonding of a flexible glass sheet (i.e., a work piece) to the glass carrier, which moderate bonding permits the subsequent removal of the thin glass sheet from the carriers to provide re-usable carrier.


The disclosed method is advantaged in several respects, including, for example:

    • eliminating an air gap between the flexible glass sheet and the glass carrier substrate, which air gap is disclosed in prior methods, to provide greater manufacturing reliability in vacuum, ultrasonic, and wet processing;
    • providing a flexible, thin, or like glass sheet, or non-glass sheet, work piece having a flatter facial work surface that can improve an user's latitude in circuit or device construction on the work piece and processing (e.g., lithography) by reducing the height variation within the laminate;
    • providing simpler bonding surface preparation and bonding surface processing;
    • providing easier de-bonding or separation of the work piece from the carrier substrate;
    • providing a reusable carrier substrates; and
    • providing a bonding surface between the work piece and the carrier substrate that offers higher thermal stability than commercially available polymer wafer bonding approaches.


In embodiments, the disclosed flexible substrates offer an opportunities for less costly manufacturing of devices using, for example, roll-to-roll processing, and the potential to make thinner, lighter, more flexible and durable displays. However, the technology, equipment, and processes required for roll-to-roll processing of high quality displays are not yet fully developed. Since panel makers have already heavily invested in toolsets to process large sheets of glass, laminating a flexible substrate to a carrier substrate and making display devices by a sheet-to-sheet process offers a shorter term solution to develop the value proposition of thinner, lighter, and more flexible displays. Displays have been demonstrated on polymer sheets such as polyethylene naphthalate (PEN) where the device fabrication was sheet-to-sheet with the PEN laminated to a glass carrier. The upper temperature limit of the PEN limits the device quality and processes that can be used. In addition, the high permeability of the polymer substrate leads to environmental degradation of OLED devices where a near hermetic package is typically required. Thin film encapsulation offers promise potential solution to overcome this limitation, but the encapsulation approach has not yet been demonstrated to provide acceptable yields for large volumes.


In a similar manner, display devices can be manufactured using a glass carrier substrate that has been laminated to one or more flexible glass substrates. It is anticipated that the low permeability and improved temperature and chemical resistance of the flexible glass substrate will enable higher performance, longer lifetime, and flexible displays.


The above mentioned commonly owned and assigned U.S. Ser. No. 61/596,727 mentions bonding a thin sheet, for example, a flexible glass sheet, to a carrier initially by van der Waals forces, then increasing the bond strength in certain regions while retaining the ability to remove the thin sheet after processing the thin sheet or carrier to form devices thereon, for example, electronic or display devices, components of electronic or display devices, OLED materials, photo-voltaic (PV) structures, or thin film transistors. At least a portion of the thin glass is bonded to a carrier substrate such that there is prevented device process fluids from entering between the thin sheet and carrier, where there is provided a reduced possibility of contaminating downstream processes, i.e., the bonded seal between the thin sheet and carrier is hermetic, and in some preferred embodiments, this seal encompasses the outside of the article thereby preventing liquid or gas intrusion into or out of any region of the sealed article.


JP2013184346 and WO2013179881, assigned to Asahi, mention a peelable glass laminated body having a support substrate with a first inorganic layer, and a glass substrate with an inorganic layer provided with a second inorganic layer, either or both of the first and second inorganic layers contain at least one of: a metal oxide, metal nitride, a metal oxynitride, metal carbide, a metal carbonitride, a metal silicide, or a metal fluoride.


In low temperature polysilicone (LTPS) device fabrication processes, temperatures approaching 600° C. or greater, vacuum, and wet etch environments may be used. These conditions limit the materials that may be used, and place significant stability demands on the laminate's components comprised of a carrier substrate and a sheet substrate.


In embodiments, the disclosure provides a method for bonding a flexible glass sheet to a carrier substrate to form a laminate, processing the laminate, such as creating a circuit or device on the outer unbonded surface of the flexible glass sheet, and debonding the flexible glass sheet from a carrier. The method can use existing capital infrastructure, enables processing of thin flexible glass, for example, glass having a thickness less than or equal to 0.3 mm thick, without contamination or loss of bond strength between the thin flexible glass and carrier substrate at higher processing temperatures, and the resulting surface or device modified thin flexible glass de-bonds easily from the carrier substrate at the end of the process.


In embodiments, the disclosure provides a method having considerable operational flexibility, including for example: cleaning and surface preparation of the flexible glass sheet and carrier substrate to facilitate bonding; maintaining adequate bond strength between the flexible sheet and the carrier substrate at the bonded area; maintaining releasability of the flexible sheet from the carrier substrate; and optionally cleaning the separated carrier substrate for reuse in the method.


In embodiments, in the glass-to-glass bonding process, the work-piece and carrier substrate glass surfaces can be cleaned prior to laminate joining to remove all extraneous metal, organic, and particulate residues, and to leave a mostly silanol terminated contact surface.


If the glass contact surfaces are first brought into intimate contact, where van der Waals forces pull them together, with heat and optionally pressure, the surface silanol groups can condense to form strong Si—O—Si bonds across the interface between the opposing glass surfaces to permanently fuse the opposing glass pieces causing the opposing pieces inseparable without breakage. Metal, organic, and particulate residue can be used to prevent or limit bonding of the opposing glass pieces by obscuring at least one glass surface and preventing intimate contact, which obscuration may be called for to further moderate bonding between the work-piece substrate and carrier substrate glass.


A high silanol surface concentration can form an undesirably strong bond between the opposing glass pieces. The number of bonds per unit area will be determined by the probability of two silanol species on opposing surfaces reacting to condense out water. Zhuravlev has reported the average number of hydroxyls per nm2 for well hydrated silica as from 4.6 to 4.9. (see Zhuravlev, L. T., The Surface Chemistry of Amorphous Silika, Zhuravlev Model, Colloids and Surfaces A: Physiochemical Engineering Aspects, 173 (2000) 1-38).


In the abovementioned U.S. Ser. No. 61/596,727, a non-bonding region is formed within a bonded periphery, and the primary means described for forming such non-bonding area is increasing surface roughness. A roughness of greater than 2 nm Ra is called for to prevent opposing glass-to-glass bonds from forming during the elevated temperature phase of the bonding process. In U.S. Ser. No. 61/736,880 a non-bonding area is formed by weakening the van der Waals bonding between carrier and thin glass.


Thermal, vacuum, solvent, acidic, and ultrasonic flat panel display (FPD) processes require a robust bond between the thin flexible glass bound to a carrier.


Three transistor technologies are used in mass production for FPD backplane fabrication, including: amorphous silicon (aSi) bottom gate TFT; polycrystalline silicon (pSi) top gate TFT; and amorphous oxide (IGZO) bottom gate TFT. The general process begins with cleaning of a glass substrate, typically in hot alkaline solutions with ultrasonic or megasonic agitation, followed by DI water rinse.


The device structure can be fabricated in a number of subtractive cycles of material deposition and photolithographic patterning followed by material etching. Metal, dielectric, and semiconductor materials can be deposited by vacuum processes (e.g., sputtering metals, transparent conductive oxides and oxide semiconductors, Chemical Vapor Deposition (CVD) deposition of amorphous silicon, silicon nitride, and silicon dioxide at elevated temperature, 150 to 450° C.). Other thermal process steps can include, for example, up to 600° C. p-Si crystallization, 350 to 450° C. oxide semiconductor annealing, up to 650° C. dopant annealing, and about 200 to 350° C. contact annealing. Layers can be patterned by a photolithographic patterning of a polymer resist, etching, and followed by resist strip. Both vacuum plasma (dry) etch and acidic wet etch processes can be used. In FPD processing, the photoresist is typically stripped by a hot solvent and, for example, along with ultrasonic or megasonic agitation.


Adhesive wafer bonding has been widely used in microelectromechanical systems (MEMS) and semiconductor processing for back end steps where processes are less harsh.


Commercial adhesives by Brewer Science and Henkel are typically thick polymer adhesive layers of about 5 to 200 microns. The large thickness of these layers can create the potential for large amounts of volatiles, trapped solvents, and adsorbed species to contaminate FPD processes. These materials can thermally decompose and outgas above about 250° C. The materials can also cause contamination in downstream steps by acting as a sink for gases, solvents, and acids, which can outgas in subsequent processes or process steps.


An overly strong covalent bond between the thin glass work piece and the glass carrier can preclude complete separation and re-usability of the carrier.


In the abovementioned U.S. Ser. No. 61/596,727 applicant demonstrated FPD by the formation of a strong covalent bond around the periphery of a thin glass sheet bound to a carrier, and that the thin glass sheet bound to the carrier was durable enough to survive FPD processing. However, the carriers were not reusable. The periphery was permanently bonded by covalent Si—O—Si bonding with an adhesive force of about 2000 mJ/m2, which is on the order of the fracture strength of the glass, which is approximately 2500 mJ/m2. Prying or peeling cannot be used to separate flexible glass piece and carrier. Instead, the non-bonded center with the surface constructed devices is scribed and extracted leaving as scrap a bonded periphery on the carrier.


Although not being bound by theory, surface adhesion theory has been extensively studied and articulated (see “A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension”, L. A. Girifalco and R. J. Good, J. Phys. Chem., 61, 904 (1957)).


In U.S. Ser. No. 61/736,887 it was demonstrated that thin plasma fluoropolymer surface treatments can vary the surface energy of glass between that of Teflon® and water.


This precise control of both Van der Waal and covalent interactions at their fractional values via surface modification to achieve desired adhesion energy is an aspect of the present disclosure.


In embodiments, the disclosure provides a method of making a device modified substrate supported on a glass carrier substrate, comprising:

    • treating at least a portion of the first surface of a glass device substrate, at least a portion of a first surface of a glass carrier substrate, or a combination thereof, wherein the treated glass surface has:
      • silicon of about 0.1 to about 14 at %;
      • oxygen of about 1 to about 40 at %;
      • carbon of about 3 to 60 at %;
      • fluorine of about 5 to 65 at %; and
      • a metal to fluorine (M:F) atomic ratio of about 1:1 to about 1:3;
    • contacting the treated glass surface with an untreated or a like-treated counterpart glass device substrate or glass carrier substrate to form a laminate comprised of the glass device substrate bonded to the glass carrier substrate;
    • modifying at least a portion of the non-bonded second surface of the glass device substrate of the laminate with at least one device surface modification treatment; and
    • optionally separating the glass device substrate having the device modified second surface from the glass carrier substrate.


In embodiments, when the device modified substrate is a glass, for example, Corning® Eagle XG® (“EXG”), the treated surface or interface can preferably have:

    • silicon of about 2 to about 9 at %;
    • oxygen of about 7 to about 14 at %;
    • carbon of about 4 to 10 at %; and
    • fluorine of about 45 to 60 at %, as measured by XPS.


The measured atomic % can vary depending upon the surface measurement method selected, for example, XPS or ESCA can probe the outer most 10 nm of the surface, such as 2 to 6 nm.


In embodiments, the method can further comprise heating the laminate in at least one device surface modification treatment at from about 200 to about 700° C., for 1 second to 1200 minutes.


In embodiments, treating can include or comprise, for example: contacting at least one portion of the glass surface with a fluorocarbon plasma comprised of polymerizing agents or etching agents, or a combination thereof.


In embodiments, the polymerizing agents can include or comprise, for example, at least one of CHF3, C4F8, C3F6, C3F8, H2, CH4, a hydrocarbon having from 3 to 12 carbon atoms and free of fluorine atoms selected from the group consisting of an alkane, an alkenes, an alkyl, an aromatic, or a combination thereof, and the etching agents comprise at least one of CF4, C2F6, NF3, SF6, HF, or a combination thereof.


In embodiments, the laminate can have an interfacial bond with an adhesive strength of from about 100 to about 2,000 mJ/m2 between the glass device substrate and the glass carrier substrate.


In embodiments, treating can be accomplished, for example, on both of the glass device substrate and the glass carrier substrate, or alternatively, accomplished on only one of the glass device substrate or the glass carrier substrate.


In embodiments, the glass device substrate can have a thickness of, for example, from about 10 to about 500 microns, the carrier glass substrate has a thickness of, for example, about 200 microns to 3 mm, and the thickness of the interfacial bonding layer between the glass device substrate and the glass carrier substrate of, for example, from about a single atomic layer to about 100 nanometer.


In embodiments, modifying with at least one device surface modification treatment is selected from, for example, at least one of: etching, coating, printing, plating, vapor deposition, sputtering, and like modifying treatments, or combinations thereof.


In embodiments, separating can be, for example, at least one of:

    • peeling the device surface modified glass device substrate from the glass carrier substrate, peeling the glass carrier substrate from the glass device substrate, or both; and
    • contacting at least one of the glass device substrate or the glass carrier substrate with a suction device, a gripper device, a knife edge, or a combination thereof.


In embodiments, the method can further comprise, for example, cleaning the separated glass carrier substrate for repeated reuse in the method.


In embodiments, the glass carrier substrate, the glass device substrate, or both, can be, for example, flexible, rigid, or have an intermediate flexibility or rigidity.


In embodiments, the disclosure provides a laminate glass article, comprising:

    • a glass device substrate bonded to a glass carrier substrate with at least one bonded surface that has been treated with a plasma selected from:
    • a fluoropolymer;
    • the reaction products of a fluorinated etching agent;
    • or a combination thereof,


      wherein the interfacial bond strength between the glass device substrate and the carrier glass substrate is from about 100 to about 1,000 mJ/m2, the interface between the glass device substrate and the glass carrier has a silicon content of from about 1 to about 14 at %; a fluorine content of from about 5 to 60 at %, and the interface between the glass device substrate and the glass carrier substrate has a metal to fluorine (M:F) atomic ratio of about 1:1 to 1:3.


In embodiments, the glass device substrate or device modified substrate has a facial contact area that is smaller than, larger than, or the same size, compared to the facial contact area of the glass carrier substrate.


In embodiments, the article can further comprise, for example, the non-bonded second surface of the glass device substrate in the laminate article having at least one device modified surface area.


In embodiments, the glass device substrate can have a thickness of from about 20 to about 500 microns, the carrier glass substrate has a thickness of about 200 microns to about 3 mm, and the thickness of the interfacial bonding layer between the glass device substrate and the glass carrier substrate can be, for example, from about a single atomic layer to about 100 nanometer.


In embodiments, the disclosure provides a method of making a laminate article comprising a device substrate having a device modified semiconductor substrate supported on a glass carrier substrate, the method comprising:

    • treating at least a portion of a first surface of the glass carrier substrate, wherein the treated first surface has:
      • silicon of about 0.1 to about 14 at %;
      • oxygen of about 1 to about 40 at %;
      • carbon of about 3 to 60 at %;
      • fluorine of about 5 to 65 at %; and
      • a metal to fluorine (M:F) atomic ratio of about 1:1 to about 1:3; and
    • contacting the treated first surface with the device semiconductor substrate to form a laminate comprised of the device semiconductor substrate bonded to the treated first surface of the glass carrier substrate;
    • modifying at least a portion of the non-bonded second surface of the device semiconductor substrate of the laminate with at least one device surface modification treatment; and
    • optionally separating the device semiconductor substrate having the device modified second surface from the glass carrier substrate.


In embodiments, the glass device substrate can have a thickness of from about 20 to about 500 microns, the carrier glass substrate has a thickness of about 200 microns to about 3 mm, and the thickness of the interfacial bonding layer between the glass device substrate and the glass carrier substrate can be, for example, from about a single atomic layer to about 100 nanometer.


In embodiments, the method can further comprise, for example, heating the laminate in at least one device surface modification treatment at from about 200 to about 700° C., for 1 second to 1200 minutes.


In embodiments, treating can comprise: contacting the glass surface with a fluorocarbon plasma comprised of a polymerizing agent, an etching agent, or a combination thereof; and

    • the device substrate is selected from a silicon (Si), a gallium arsenide (GaAs), or a combination substrate.


In embodiments, the polymerizing agent can be, for example, at least one of CHF3, C3F8, C3F6, H2, CH4, a hydrocarbon having from 3 to 12 carbon atoms and free of fluorine atoms selected from the group consisting of hydrocarbyl moieties, such as an alkane, an alkene, an alkyl, an aromatic, or a combination thereof; and the etching agent comprise at least one of CF4, C2F6, NF3, SF6, HF, or a combination thereof.


In embodiments, the laminate can have an interfacial bond with an adhesive strength of from about 100 to about 1,000 mJ/m2 between the device semiconductor substrate and the glass carrier substrate.


In embodiments, the device semiconductor substrate has a thickness of from about 20 to about 1000 microns, the carrier glass substrate has a thickness of about 200 microns to 3 mm, and the thickness of the interfacial bonding layer between the device semiconductor substrate and the glass carrier substrate is from about a single atomic layer to about 100 nanometer.


In embodiments, modifying with at least one device surface modification treatment can be, for example, at least one of: etching, coating, printing, plating, vapor deposition, sputtering, or combinations thereof.


In embodiments, the method of making a device semiconductor substrate can further include, for example, cleaning the separated glass carrier substrate for repeated reuse in the method.


In embodiments, the glass carrier substrate can be, for example, flexible, rigid, or can have an intermediate flexibility or rigidity.


In embodiments, the device substrate can be, for example, flexible, rigid, or has an intermediate flexibility or rigidity.


In embodiments, the laminate glass article, can include, for example:

    • a device semiconductor substrate bonded to a glass carrier substrate, the bond comprising the glass carrier having a surface modified by plasma treatment for from at least one of the following: a fluoropolymer; the reaction products of the fluorinated etching agents, with the glass substrate; or a combination thereof,


      wherein the interfacial bond strength between the device semiconductor substrate and the carrier glass substrate is from about 100 to about 1,000 mJ/m2, the interface between the device semiconductor substrate and the glass carrier has silicon content of from about 0.1 to about 14 at %; a fluorine content of from about 5 to 60 at %, and the interface between the flexible glass sheet article and the glass carrier has a metal to fluorine (M:F) atomic ratio of about 1:1 to 1:3.


In embodiments, the device semiconductor substrate has a facial contact area that is smaller than, larger than, or the same size, compared to the facial contact area of the glass carrier substrate.


In embodiments, the article can further comprise the non-bonded second surface of the device semiconductor substrate in the laminate having at least one device modified surface.


In embodiments, the device semiconductor substrate has a thickness of from about 20 to about 1000 microns, the carrier glass substrate has a thickness of about 200 microns to about 3 mm, and the thickness of the interfacial bonding layer between the device semiconductor substrate and the glass carrier substrate can be, for example, from about a single atomic layer to about 100 nanometer.


Referring to the Figures, FIG. 1 shows a schematic of the disclosed reusable carrier process (100). The reusable process (100) can include, for example, a carrier cleaning or pre-conditioning step (110) where a carrier substrate (105) substrate, such as a new (105) or re-used (140) substrate, is subjected to cleaning (110) to produce the cleaned or pre-conditioned carrier (105 or 140). The carrier (105) can be, for example, a sheet or roll stock made of glass, ceramic, and like materials, or a combination of materials. The resulting carrier (105 or 140) can be subjected to the disclosed adhesive surface conditioning or an adhesive surface treatment (115) to, for example, deposit an adhesive layer with extended treatments, or more preferably with shorter treatments, create a chemically altered interface (117) on the treated carrier (106). The adhesive treatment can be, for example, an additive plasma fluoropolymer treatment, a subtractive etchant treatment, or a combination thereof, as described herein. Next, the carrier (106) having the adhesive conditioned surface treatment (117) receives a work piece (122), such as a flexible glass sheet, in a bonding or combination (120) step to provide a laminate. The adhesive conditioning or surface treatment can include heating the conditioned surface (117), the carrier (106), or both, with or without the work piece (122) present. The laminate comprised of the bonded or combined work piece (122) and the carrier (106), can be subjected to, for example, one or more device surface modification step or steps (125), which modifies the exterior work surface of the flexible glass sheet work piece (122), that is, on the surface of the work piece which is not facing or is not in direct contact with the carrier (106) or not in direct contact with the interfacial adhesive layer (117), to provide the laminate having an exterior surface modification comprising the combined work piece (122) and carrier (106) having a device modified work surface (127) on the work piece (122). Device or work surface modification can include any of one or more compatible steps, for example, additive processing, subtractive processing, or combinations thereof, that substantively changes at least a portion of the work surface, for example, coating, deposition, controlled topological changes, scoring, cutting, drilling, machining, circuit creation, device creation, flat panel display (FPD) fabrication, and like surface modifications, or combinations thereof. The laminate comprising the combined work piece (122) and the carrier (106) having the modified work surface (127) can be separated or de-bonded (130) by any suitable means, method, or instrumentality, for example, by a mechanical force or contact, such as with a knife edge or blade, suction cup or gripper, air knife, and like tools or techniques, to separate the work piece (135) such as a device surface modified glass sheet, from the carrier (106). The used carrier (140) can be cleaned to produce a re-used carrier (105) described above, and the above described process can be repeated continuously.



FIGS. 2A and 2B show the change in contact angle (FIG. 2A) and surface energy (FIG. 2B) for CF4 and CHF3 plasma polymer treated glass surfaces. The contact angle measurements in FIG. 2A used different solvents (210, water; 215, diiodomethane; and 220, hexadecane). The surface energy measurements in FIG. 2B used different energy metrics (230, total; 240, polar; and 250, dispersion; where total energy (230) is the sum of the polar and the dispersion energies, i.e., “240+250”). The surface energy and the polar and dispersion components are measured by fitting the Wu model (see S. Wu, J. Polym. Sci C 34, 19 (1971)) of solid-liquid interfacial energy to three contact angles of three test liquids: water, diiodomethane, and hexadecane.



FIGS. 3A and 3B show surface concentrations of fluorine (310), carbon (320), and oxygen (330) (FIG. 3A), and concentration of fluorine atoms due to metal fluorides (340) and concentration of fluorine atoms attributable to fluoropolymer (350) (FIG. 3B) as measured by XPS for CF4 and CHF3 plasma fluoropolymer treated Eagle XG® glass surfaces having different mol fractions of CF4 and CHF3 in the plasma. The surface concentration of fluorine varies little (e.g., 49 to 52 at %) across the CF4 and CHF3 gas mixture, while the carbon concentration decreases as CF4 is added. The high fluorine concentration (49 to 52 at %) and low oxygen (7.5 to 12 at %) is consistent with the CHF3 and CF4 plasma polymer surface treatment forming a metal fluoride surface.



FIG. 4 shows the changes in elemental surface composition as measured by XPS as a function of different plasma treatment times. In each instance, the flow rate of the gas was 50 standard cubic centimeter per minute (sccm) at a pressure of 50 milli-torrs. The plasma treatment was either pure CHF3 or pure CF4 (400, 410, and 420 refer to 6 seconds, 60 seconds, and 600 seconds of CHF3 plasma treatments, respectively, and 430, 440, and 450 refer to 6 seconds, 60 seconds, and 600 seconds of CF4 plasma treatment times, respectively). The surface composition of untreated flat glass is also presented for comparison (460; negative control). The data shows that while for 6 seconds of plasma treatment, the surface concentration of carbon is less than 10 at %, the surface concentration of fluorine exceeds 40 at %.



FIG. 4 also shows that for CF4 plasma polymer surface treatments there is little increase in carbon or fluorine concentration after 6 seconds. Silicon, oxygen, and boron concentrations decrease with increasing CF4 plasma exposure time, while Al, Mg, Ca, and Sr concentrations increase with increasing CF4 plasma exposure time. This is consistent with CF4 plasma treatment etching the glass surface and depleting the surface of silicon, oxygen, and boron.


Changes in bond energy vs. anneal temperature and anneal time for CF4 and CHF3 plasma polymer treated Eagle XG® carrier bonded to SC1 cleaned thin glass is shown in FIG. 5A, and SC1 treated Eagle XG® carrier to SC1 treated thin glass is shown in FIG. 5B.



FIG. 5A shows the change in adhesion or bond energy as a function of the anneal temperature for RIE mode CF4 and CHF3 treated Eagle XG® carriers with three different surface energies prepared by changing the gas ratio as described in FIG. 2: at 40 mJ/m2 surface energy (510) the bond energy is too weak and is inadequate for surviving low temperature polysilicon (LTPS) processing. At 55 mJ/m2 surface energy (511) the bond energy is adequate to survive LPTS processing; and at 72 mJ/m2 the surface energy (512) is still adequate for surviving LTPS processing but is more difficult to peel off the flexible glass sheet from the carrier. The bond energy (SE) or adhesion limit (515) is about 2500 mJ per square meter where attempted separation results in glass breakage failure.



FIG. 5B shows the bond energy (SE) of an SC1 treated Eagle XG® carrier to SC1 treated thin glass or adhesion of a flexible glass sheet to the carrier in the laminate as a function of anneal time at 100° C. (520), 150° C. (521), 200° C. (522), 250° C. (523) and 300° C. (524). Bond energy is observed to increase rapidly with increasing temperature. At 300° C. (524), the thin glass was permanently bonded and could not be removed without breakage at annealing times of 10 min and above.


Bond energy (BE) measurements were accomplished using the wedge test method (see Tong, Q. Y., et al. “Semiconductor Wafer Bonding”, Annu Rev Mater Sci, vol. 28, no. 1, pp. 215-241, 1998). The bond energy or adhesion between a surface treated carrier and a clean flexible glass can be determined by inserting a thin blade and measuring the crack length. The bond energy γ is related to the carrier Young's modulus E1, carrier thickness tw1, flexible glass modulus E2, flexible glass thickness tw2, blade thickness tb, and crack length L by the equation:






γ
=


3






t
b
2



E
1



t

w





1

3



E
2



t

w





2

3



16







L
4



(



E
1



t

w





1

3


+


E
2



t

w





2

3



)








From the examples in FIG. 5A it can be seen that creating a silicon and oxygen depleted and fluorine and carbon enhanced surface rich in alkaline earth fluorides by an inhomogeneous etching of glass surface optionally combined with polymer deposition can readily form temporary removable or releasable bonds to other high energy surfaces such as glass and in particular, thin glass.


In embodiments, a flexible glass sheet can have a thickness of from about 50 to about 300 microns, and a carrier glass article can have a of about 200 microns to 3 mm. Unexpectedly, this silicon and oxygen depleted and fluorine and carbon enriched surface does not permanently bond to glass surfaces at temperatures below about 600° C.


The surface treatments described herein may be used to process thin wafers in semiconductor and/or interposer processing. Some examples of the present invention are generally directed to carriers bonded to and removed from thinner substrates to allow processing of the thinner substrates. More particularly, some examples of the present invention are directed to methods and apparatuses for bonding wafers to carriers for semiconductor and/or interposer processing, and then debonding the wafers from the carriers after such processing.


Semiconductor devices are fabricated by forming active devices on or within a semiconductor wafer. The semiconductor wafer may comprise, for example, glass, silicon, polysilicon, single crystal silicon, silicon oxide, aluminum oxide, combinations of these, and/or the like. Hundreds or thousands of integrated circuits (ICs) or dies are typically manufactured on a single wafer. Typically, a plurality of insulating, conductive, and semiconductive material layers are sequentially deposited and patterned over the wafer to form the ICs. One of the uppermost-formed material layers typically comprises a layer for bond pads which make electrical connection to the underlying active areas and components within the wafer.


After the ICs are formed, the wafer may be subjected to backside processing. The backside processing may include thinning the wafer to prepare the wafer for packaging. For example, in some technologies, backside processing may include forming electrical connections to through-substrate vias formed through the wafer for providing backside contacts. In this example, the backside of the wafer is thinned through a process such as grinding in order to expose the conductive vias on the backside of the wafer. This process of thinning the wafer can damage the edges of the wafer and can make the wafer even more fragile and susceptible to damage during subsequent transportation and processing of the wafer.


To help alleviate these types of damage, a carrier may be attached to the wafer. Typically, before, this carrier was attached using an adhesive, and was intended to allow handling of the wafer by handling the carrier. Additionally, the added strength of the carrier supports the wafer so that stresses caused by transportation and/or processing will not damage the wafer. A typical carrier may be a glass substrate attached to the wafer using an adhesive. It has been found, however, that the wafer may warp during processing and that the typical carrier does not provide sufficient support to prevent warping. As a result of the warpage of the wafer, processes may fail and/or cause alarm conditions. The first portion of the IC fabrication, where the active transistors, resistors and RC circuits, and local wiring to interconnect the transistors are patterned in the semiconductor, is called front-end-of-line (FEOL) processing. FEOL processing may also include: well formation; gate module formation; source and drain module formation; DRIE (dry reactive ion etch); PVD, Ti or Cu, or other; CVD TiN or other; PECVD SiO2, or other; Electrolytic Cu (or other) Plating; Cu (or other) annealing; Metrology (X-Ray or other); Cu (or other) CMP (Chemical Mechanical Polish); Cu (H2O2+H2SO4)+Ti (DHF) Wet Etch; Sputter Adhesion Layer (Ti or other); Sputter Seed Layer (Cu or other); Lithography (Photoresist, expose, strip, etch Cu). Due to some of the high temperature (e.g., ≥500° C.,in some instances, 500° C. to 650° C., and in some cases up to 700° C.) processes associated with FEOL processing, many adhesive based solutions cannot be used, as they may fail to hold the bond, they may outgas contaminants, or both. Many adhesives even outgas at much lower temperatures, e.g., around 300° C. The portion of IC fabrication line where the coarse wiring that connects longer distances across individual chip and goes to off chip locations are interconnected with wiring on the wafer is called back-end-of-line (BEOL) wiring. BEOL processing may also include one or more of formation of contacts, insulating layers, interconnect wiring, RF shielding, passivation, ESD protection, bonding pads and other bonding sites for chip-to-package solutions. Although BEOL processing temperatures are generally lower than FEOL processing temperatures, dielectric deposition typically occurs at 350-450° C. and most adhesives outgas at these lower temperatures. Moreover, most temporary adhesives have high CTEs which are mismatched with the wafer and carrier materials, and are difficult to remove while leaving the delicate microstructures on the wafer intact. Additionally, the CTE mismatch between the adhesive and the wafer and/or carrier materials may cause undesirable warping of the wafer. Still further, adhesive may find its way into the vias of an interposer when bonding to a carrier and undesirably prevent metallization of at least part of the via.


Thus, there is a need for an improved carrier-substrate solution that can withstand processing conditions, particularly the high temperature demands of FEOL processing. Additionally, a carrier-substrate solution that can withstand the rigors of FEOL, and yet provide for easy debonding thereafter, will allow a thinner initial substrate to be used from the get-go, thereby alleviating the need for back-end thinning. That is, typical existing semiconductor tools are designed to process wafers on the order of 500 microns and above. However, with a carrier supporting a wafer, the combined thickness need only be within the tools' processing thickness range. Thus, for example, a carrier having a thickness of 400 microns may be used to support a wafer of 100 microns, and the combination processed in the existing semiconductor tool. With the present solution, due to the controlled bonding that allows easy separation even after high temperature processing, 100 micron wafers may be used as substrates, thereby avoiding the waste and potential yield reductions of thinning after forming devices on the wafer. The ability to withstand FEOL processing will allow a carrier-substrate solution to start with a wafer having a thickness of ≤200 microns, for example, 200, 190, 180, 170, 160, 150, 140, 130, 120, 110, 100, 90, 80, 70, 60, 50, 40, 30, or 20 microns. The wafer of such a thickness (≤200 microns for example) can be attached to a carrier, processed, and then removed from the carrier. This can be a major advantage when, for example, polysilicon or single crystal silicon wafers are used as the substrates because there can be avoided the removal and waste of a very expensive material; the material can simply be processed at its as-formed thickness.


Additionally, 3D IC technology has been widely accepted by the Semiconductor Industry as a major technology trend to improve performance of semiconductors without requiring ever more expensive advanced lithography solutions or requiring larger chip size to accommodate more circuitry. This technology for 3D ICs relies on thinned silicon ICs, and also on interposers to redistribute electrical signals between IC's directly on a single interposer in a planar configuration (2.5D IC) as well as to stack thinned IC's (3D IC).


These interposers, which can be made of polysilicon, single crystal silicon or glass, allow dramatic improvements in the speed of communications by reducing path lengths from millimeters to microns. The lead application for this new technology has been Field Programmable Gate Arrays (FPGA), a high end specialized functionality manufactured by Xilinx (San Jose, Calif., USA), for example.


Interposers are characteristically on the order of 50 um to 100 um thick, sized from 200 mm OD to 300 mm OD today, trending towards larger sized panels long term. The vias, through which electrical signals are processed following metallization, are from 5 um OD to 150 um OD with a density typically 1 to 20 vias per square millimeter, depending on design and application. Interposers are by definition thin, as thick interposers cause an unacceptable form factor (height) and performance (heat) obstacles. Thin is generally regarded as around 100 microns, but generally not to exceed 200 microns. On the other end, the International Technology Roadmap for Semiconductors (ITRS) allows for thicknesses down to 50 um. Again, substrates of these thicknesses generally cannot be processed in existing tools. Thus, the present disclosure contemplates the advantageous use of a carrier, and one that may stay attached with the wafer even during high temperature processing, and yet still allow an easy release of the wafer after such processing.


Although the interposer technology is new, the dominant interposer substrate is single crystal silicon, with glass emerging as an alternative. The attractiveness of glass is performance and cost, but no solution has yet existed today to realize these advantages for glass. The concepts in the present disclosure will allow processing of a variety of thin substrates as wafers, including silicon and glass, as well as under a variety of conditions, including FEOL and BEOL, to provide a variety of devices including ICs, RC circuits, and interposers.


The bonding solutions of the present disclosure allow the processing of thin form at final thickness glass, as well as thinned Silicon, through all existing required process steps with high yield and with low processing time. After the thin wafer is processed through metallization, distribution layer placement, it can be debonded leaving the thinned and processed interposer, and/or IC, intact. Moreover, the use of carrier with an already-thinned (on the order of ≤200 microns) silicon wafer allows the wafer to be screened before any devices are processed thereon. Accordingly, costs can be reduced and/or yields improved.


In light of the above, there is a need for a thin sheet—carrier article that can withstand the rigors of the FEOL processing, including high temperature processing (without outgassing that would be incompatible with the semiconductor or display making processes in which it will be used), yet allow the entire area of the thin sheet to be removed (either all at once, or in sections) from the carrier. The present specification describes surface treatments to control the adhesion between the carrier and thin sheet to create a temporary bond sufficiently strong to survive FEOL processing (including high temperature processing) but weak enough to permit debonding of the sheet from the carrier, even after high-temperature processing. More specifically, the present disclosure provides surface treatments that may be provided on the thin sheet, the carrier, or both, to control both room-temperature van der Waals, and/or hydrogen, bonding and high temperature covalent bonding between the thin sheet and carrier. Even more specifically, the room-temperature bonding may be controlled so as to be sufficient to hold the thin sheet and carrier together during vacuum processing, wet processing, and/or ultrasonic cleaning processing. And at the same time, the high temperature covalent bonding may be controlled so as to prevent a permanent bond between the thin sheet and carrier during high temperature processing, as well as maintain a sufficient bond to prevent delamination during high temperature processing.


Another use of the surface treatments, which form the temporary bonding condition, is to provide for use of a thin sheet on a carrier to process the thin sheet in processes requiring a temperature ≥400° C. (for example ≥450° C., ≥500° C., ≥550° C., ≥600° C.), as in FEOL processing, for example. That is, the thin sheet may be a wafer that is processed at thickness without having to thin it later on. Surface treatments as described herein may be used to provide reuse of the carrier under such temperature conditions. Specifically, these surface treatments may be used to form a temporary bond between the thin sheet and carrier, whereby the entire thin sheet may be separated from the carrier after processing. The thin sheet may be separated all at once, or may be separated in sections as, for example, when first removing devices produced on portions of the thin sheet and thereafter removing any remaining portions to clean the carrier for reuse, for example. In the event that the entire thin sheet is removed from the carrier, as by removal of the thin sheet as a whole, or as by removing diced sections of the thin sheet the sum of which add to the entire thin sheet, the carrier can be reused as is by simply by placing another thin sheet thereon. Alternatively, the carrier may be cleaned and once again prepared to carry a thin sheet by forming a surface treatment anew. Because the surface treatments provide a temporary bond between the thin sheet and the carrier, they may be used for processes wherein temperatures are ≥600° C. Of course, although these surface treatments may control bonding surface energy during processing at temperatures ≥600° C., they may also be used to produce a thin sheet and carrier combination that will withstand processing at lower temperatures, for example temperatures ≥400° C. (for example ≥450° C., ≥500° C., ≥550° C.), and may be used in such lower temperature applications to control bonding, for example in BEOL processing. The thin sheet may be a polysilicon or single crystal silicon wafer, silicon wafer, glass, ceramic, glass-ceramic, quartz, sapphire, having a thickness of ≤200 microns, and may be processed at, for example temperatures ≥500° C. to form RC circuits, ICs, or other electronic devices thereon in FEOL processing. After FEOL processing, the wafer may easily be removed from the carrier without damaging the electronic devices. Before removal, however, the wafer may undergo further, lower temperature processing, as in BEOL processing, for example.


A second use of the surface treatments, to provide a temporary bond, is to fabricate an interposer. In this case, the thin sheet is an interposer, which may be a wafer made from any suitable material including silicon, polysilicon, single crystal silicon, glass, ceramic, glass-ceramic, quartz, sapphire, for example, and which may have a thickness of ≤200 microns, for example.


An example of an interposer, and the fabrication thereof, will now be described with reference to FIGS. 6-8.


With reference to FIG. 6, a thin sheet 20 may be bonded to a carrier 10 by a controlled bonding area 40, i.e., an area in which a temporary bond is formed between the thin sheet 20 and the carrier 10.


In this embodiment, the carrier 10, may be a glass substrate, or another suitable material having a similar surface energy as glass, for example, silicon, polysilicon, single crystal silicon, ceramic, glass-ceramic, sapphire, or quartz. An advantage of using a glass substrate is that flat sheets having minimal thickness variation can be obtained at a relatively low cost, avoiding the need for expensive carrier substrates. Additionally, with glass, a high quality can be achieved in a cost effective manner. That is, a very uniform thickness glass substrate can be made very cheaply, and used as a carrier. However, with the surface treatments of the present disclosure, the carrier need not be a high precision carrier having a low total thickness variation as in the case where the wafer will be thinned to final thickness. That is, when a wafer on a carrier will be thinned, the carrier must have a very tight control on total thickness variation because any variation in the carrier will be present in the thinned wafer upon thinning. With the surface treatments of the present disclosure, which allow forming devices on the wafer when the wafer is already at final thickness, the total thickness variation of the carrier is much less important.


In this embodiment, the thin sheet 20 is used to form interposers 56. The sheet may be silicon, including polysilicon or a single crystal silicon wafer, quartz, sapphire, ceramic, or glass, for example. The sheet 20 may have a thickness of ≤200 microns. The interposers 56 each having a perimeter 52 and an array 50 of vias, wherein the array 50 has a perimeter 57. Although ten interposers 56 are shown, any suitable number—including one—may be disposed on one thin sheet 20. For convenience of illustration, each interposer 56 is shown as having only one array 50 of vias, but such need not be the case; instead any interposer 56 may have more than one array 50. Further, although each interposer is shown as having the same number of arrays 50, such need not be the case; any number (including zero) of the interposers may have the same number of arrays 50. Additionally, although the arrays 50 will typically have the same number and pattern of vias, such need not be the case. For convenience of illustration, vias 60 are shown on only one of the arrays 50 of one of the interposers 56, but such need not be the case, i.e., any one or more of the remaining interposers 56 may have one or more arrays 50 of vias 60.


Reference will now be made to FIG. 7, which is a cross-sectional view as taken along line 7-7 in FIG. 6. The vias 60 may include through vias or blind vias, i.e., vias that end within the thickness of the sheet 20. Vias 60 have a diameter 62, and are spaced at a pitch 64. Although the diameters 62 are shown as being the same, such need not be the case, i.e., there may be different diameter vias in one array 50 or in different arrays 50 on one interposer 56. The diameter 62 may be from 5 microns to 150 microns, for example. Similarly, although the vias 62 are spaced at the same pitch 64, such need not be the case, i.e., different pitches may be present in one array 50, or in different arrays 50 on one interposer 56 or in different interposers 56 on one thin sheet 20. The pitch may be such that there are from 1 to 20 vias per square millimeter, for example, and will depend upon the design and application of the interposer. Additionally, material 61 may be present in any one or more of the vias 60. The material 61 may be an electrically conductive material, an electrically insulating material, or a combination thereof. For example, a conductive material may be formed on the perimeter of the via, i.e., at its outside diameter 62, and either a different conductive material or an insulating material may be used to fill in the remainder of the via.


Reference will now be made to FIG. 8, which is a view similar to that in FIG. 7, but with devices/structures disposed on the interposer 56 and connected to via(s) 60. As shown in FIG. 8, a device 66 may be disposed over, and connected with, a plurality of vias 60. Device 66 may include integrated circuits; MEMS; microsensors; power semiconductors; light-emitting diodes; photonic circuits; CPU; SRAM; DRAM, eDRAM; ROM, EEPROM; flash memory; interposers; embedded passive devices; and microdevices fabricated on or from silicon, silicon-germanium, gallium arsenide, and gallium nitride. Although only one device 66 is shown, there may be any suitable number of devices 66 on one interposer 56, including an array of devices 56. Alternatively, a structure 68 may be disposed over and connected with only one via 60. Structures 68 may include: solder bumps; metal posts; metal pillars; interconnection routings; interconnect lines; insulating oxide layers; and structures formed from a material selected from the group consisting of silicon, polysilicon, silicon dioxide, silicon (oxy)nitride, metal (for example, Cu, Al, W), low k dielectrics, polymer dielectrics, metal nitrides, and metal silicides. Although only one structure 68 is shown, there may be any suitable number of structures 68 on one interposer 56, including array(s) of structures 56. Further, one or more structures 68 may be disposed on a device 66.


In the controlled bonding area 40, the carrier 10 and thin sheet 20 are bonded to one another so that over the entire area of overlap, the carrier 10 and thin sheet 20 are connected, but may be separated from one another, even after high temperature processing, e.g. processing at temperatures ≥400° C., for example ≥450° C., ≥500° C., ≥550° C., ≥600° C., and on up to about 650° C., or in some cases to 700° C.


The surface treatments disclosed herein result in an interfacial bonding layer 30 to provide temporary bonding areas 40 between the carrier 10 and the thin sheet 20. Specifically, these surface treatments may be formed within the perimeters 52 of the arrays 50 either on the carrier 10 or on the thin sheet 20. Accordingly, when the article 2 is processed at high temperature during device processing, there can be provided a temporary bond between the carrier 10 and the thin sheet 20 within the areas bounded by perimeters 52 whereby a separation force may separate (without catastrophic damage to the thin sheet or carrier) the thin sheet and carrier in this region, yet the thin sheet and carrier will not delaminate during processing, including ultrasonic processing. Additionally, because of the very small thickness of the interfacial bonding layer 30, i.e., less than 100 nanometers, less than 40 nanometers, less than 10 nanometers, and in some instances about 2 nanometers, there is no effect on the wafer due to CTE mismatch between the wafer and the surface treatment (as there is in the case of thicker adhesive layers, i.e., on the order of 40-60 microns or more).


Then, during extraction of the interposers 56 (each having an array 50 of vias 60) having perimeters 52, the portions of thin sheet 20 within the perimeters 52 may simply be separated from the carrier 10 after processing and after separation of the thin sheet along perimeters 52. Alternatively, the thin sheet 20 (and alternatively both the thin sheet 20 and the carrier 10) may be diced along lines 5, whereby a section of the thin sheet 20 larger than the interposer 56 perimeter 52 may be removed from the carrier 10, or sections of the carrier 10 as in the event that the carrier is diced together with the thin sheet 20. Because the surface treatments provide temporary bonding of the thin sheet with the carrier, they may be used for processes wherein temperatures are ≥600° C. Of course, although these surface treatments may control bonding surface energy during processing at temperatures ≤600° C., they may also be used to produce a thin sheet and carrier combination that will withstand processing at lower temperatures for example ≥400° C. (for example ≥450° C., ≥500° C., ≥550° C.), and may be used in such lower temperature applications.


In embodiments, the sheet 20 comprises silicon, quartz, sapphire, ceramic, or glass.


In embodiments, the sheet 20 thickness is ≥200 microns.


In embodiments, the sheet 20 further comprises at least one via therein. In embodiments, the at least one via has a diameter of ≥150 microns. In embodiments, the at least one via may comprise electrically conductive material therein.


In embodiments, the sheet 20 comprises a device surface opposite the surface temporarily bonded to the carrier 10, the device surface comprising an array of devices selected from the group consisting of: integrated circuits; MEMS; CPU; microsensors; power semiconductors; light-emitting diodes; photonic circuits; interposers; embedded passive devices; and microdevices fabricated on or from silicon, silicon-germanium, gallium arsenide, and gallium nitride.


In embodiments, the sheet 20 comprises a device surface opposite the surface temporarily bonded to the carrier 10, the device surface comprising at least one structure selected from the group consisting of: solder bumps; metal posts; metal pillars; interconnection routings; interconnect lines; insulating oxide layers; and structures formed from a material selected from the group consisting of silicon, polysilicon, silicon dioxide, silicon (oxy)nitride, metal, low k dielectrics, polymer dielectrics, metal nitrides, and metal silicides.


In embodiments, the interfacial bonding layer 30 is from 0.1 to 100 nm thick.


In embodiments, the carrier and the sheet are temporarily bonded over an area of ≥100 square cm.


In embodiments, the sheet 20 and carrier 10 temporarily bonded together are subject to FEOL processing. In embodiments, the FEOL processing comprises processing-chamber temperatures of from 500° C. to 700° C. In embodiments, the FEOL processing comprises at least one of: DRIE (dry reactive ion etch); PVD; CVD TiN; PECVD SiO2; Electrolytic Cu Plating; Cu Annealing; Metrology; Cu CMP; Cu (H2O2+H2SO4)+Ti (DHF) Wet Etch; Sputter Adhesion Layer; Sputter Seed Layer; Lithography (Photoresist, expose, strip, etch Cu).


EXAMPLES

The following Examples demonstrate making, use, and analysis of the disclosed laminate glass products in accordance with the above general procedures. The following Examples also demonstrate an ability to control the resulting strength of the interfacial bond between the component pieces in the laminate article.


Example 1

Carrier Cleaning The carrier can be cleaned prior to use in the disclosed process, after use in the disclosed process following debonding or separation of the glass work piece, or both. The cleaning step can include, for example, one or more of: DI water rinse, NH4OH:H2O2:H2O mixture, O2 plasma treatment, an acid rinse (i.e., HCl or HCl:H2O2) and like rinses, or a combination thereof. The cleaning step is primarily concerned with significantly minimizing the density of particles on the cleaned surface.


Example 2

Surface Treatment In an additive surface treatment process of the disclosure, the surface of the carrier or the glass work piece can be modified to permit van der Waal bonding while eliminating or minimizing covalent bonding. The treated surface provides adhesive stability between the carrier and the work piece up to moderate process temperatures (e.g., 600° C.). The surface treatment can be accomplished with a fluorine plasma having process conditions of, for example, a vacuum pressure of 50 mTorr, a reactant flow rate and concentration of 25 sccm CHF3 and 25 sccm CF4, a 200W RF power, and a 60 second fluorine plasma exposure or treatment time. Alternatively, a similar surface may be prepared in an ICP plasma configuration with CF4 as the etchant and C4F8 as the fluoropolymer former, or in an RIE chamber with CF4 as the etchant and CH4 as the fluoropolymer former, or in an RIE chamber with CF4 as the etchant and H2 as the polymer former.


In another example, the counterpart work piece to be bonded can be, for example, a semiconductor substrate or a substrate for epitaxial growth, such as silicon, gallium arsenide, or sapphire. These substrates bond to the treated carrier glass similar to the thin glass substrate described above by either hand bonding or roller lamination. The adhesion of these substrate materials was similar to that of the above glass substrates, both as bonded and after thermal processing.


Example 3

Bonding a thin glass work piece to a carrier substrate. In an example bonding procedure, a thin glass work piece was lightly contacted with a carrier surface that had been treated with any of the treatments in the preceding example. Contacting pressure, for example, from a mechanical source, or like source, can be applied to one or more points on either or both the thin glass work piece and the carrier. The lightly applied pressure can initiate a bonding wave front.


In another example bonding procedure, the bond can be accomplished by a traditional mechanical lamination method by, for example, applying an untreated or treated thin glass work piece to a treated carrier with a roller lamination assembly.


Example 4

Device (e.g., Flat Panel Display) Fabrication on the Bonded Thin Glass Work Piece Device processing, that is, creation, fabrication, modification, etc., can be accomplished on the exterior and unbounded surface of the bonded thin glass work piece using conventional process techniques such that devices, circuits, or like structural or surface modification of the non-bonded thin glass work piece surface occurs. Device processing can include, for example, exposure to acids, bases, vacuum, film deposition, plasma etching, high temperature annealing vacuum, and like processing steps.


Example 5

Debonding Debonding processing permits the bonded thin glass work piece, now having the device processed outer surface, i.e., the device modified glass substrate, to be mechanically and relatively easily removed from the carrier substrate. Removal from the carrier can be accomplished by any suitable device or method, for example, grabbing a corner using vacuum suction and pulling on the bonded thin glass work piece to remove it from the carrier by, for example, peeling.


Example 6

XPS method of determining surface composition. X-ray Photoelectron Spectroscopy (XPS) was used to study and measure the surface composition and speciation of all surfaces. All XPS data were collected using a commercial XPS instrument (PHI) employing AlKα radiation and a take off angle of 45°. The spectra were analyzed using the software and sensitivity factors provided by the XPS instrument vendor. The following orbitals were used to determine the surface composition: Si 2p, Al 2p, O 1s, C 1s, Mg 2s, Ca 2s, B 1s, F 1s, and Sr 3d. The reported concentrations of these elements were averages of concentrations of each of these elements over the entire probed depth of the XPS signal.


Example 7

Changes in surface composition as a function of CHF3 (fluoropolymer forming agent) and CF4 (etchant) ratio Table 1 shows the surface atomic ratios of select elements obtained from FIG. 4 (Al:Si, Ca:Si, Mg:Si, Sr:Si) for untreated EXG glass and EXG glass following plasma treatment in different ratios of CF4 and CHF3. The data clearly show that plasma surface treatment results in substantial increase in the Al:Si, Ca:Si, Mg:Si, and Sr:Si atomic ratios for the treated EXG surfaces as compared to untreated EXG surface, which results are indicative of the relative depletion of Si compared to Al, Ca, Mg, and Sr.









TABLE 1







Atomic ratios of selected elements obtained


from FIG. 4 compared with the same ratios


in the surface composition of untreated EXG.











CF4/(CF4 + CHF3)
Al:Si
Ca:Si
Mg:Si
Sr:Si





0
1.9 
1.1 
0.3 
0.07


(i.e., 100 mol %






CHF3)






0.2
1.9 
1.3 
0.3 
0.08


0.4
2.0 
1.5 
0.3 
0.07


0.6
2.4 
1.6 
0.4 
0.08


0.8
2.5 
1.6 
0.4 
0.10


1
2.4 
1.6 
0.4 
0.10


(i.e., 100 mol %






CF4)






EXG no
0.26
0.10
0.01
0.01


treatment control









The presence of a carbonaceous overlayer is known to affect the atomic ratios of the underlying elements due to selective attenuation of XPS signal originating from different orbitals. In the ratio of Ca:Si, the changes due to measurement artifact is small and in the ratios of Al:Si, Mg:Si, and Sr:Si, these changes are minimal and essentially below detection limits.


Example 8

Determination of Formation of Fluoride Species on Glass Surface XPS was also used to perform speciation of fluorine detected on the surfaces. The F is spectra could be peak fitted with two distinct peaks separated by about 1.9 eV. The lower binding energy peak was assigned to fluorine present as metal fluoride species while the higher binding energy peak was assigned to fluorine in reaction with the carbonaceous layer. In this manner it is possible to obtain the fraction of fluorine concentration detected on the surface by XPS which is due to metal fluorides and the fraction of fluorine present in the fluoropolymer layer.


Knowing the concentration of fluorine that is in the form of metal fluorides, and knowing the total concentration of cations detected by XPS, it is possible to calculate the total fluorine to cation ratio. In this instance, total cation concentration is defined as the total concentrations of B, Al, Mg, Sr, Si, and Ca as detected by XPS under conditions defined in Example 7. The results of such analysis for the examples of pure CHF3 and pure CF4 plasma conditions are presented in Table 2.


The results in Table 2 indicate that for the example of pure CHF3 a majority of fluorine concentration detected by XPS on the surface is in the form of fluoropolymer while in the example of pure CF4, a minority of the fluorine concentration detected by XPS is in the form of fluoropolymer.









TABLE 2







Total concentration of fluorine detected on the surface, the total


portion of fluorine present as metal fluorides, and the fluorine to glass


cations ratios for pure CHF3 or pure CF4 plasma compositions.










50 sccm CHF3 50 mTorr
50 sccm CF4 50 mTorr















total F as


total F as




Total
metal
F:cation
Total
metal
F:cation


time
surface F
fluoride
ratio for
surface F
fluorides
ratio for


(sec)
(at %)
(at %)
glass
(at %)
(at %)
glass





60
48.5
14.2
2.2
52.4
50.9
2.3









The disclosure has been described with reference to various specific embodiments and techniques. However, it should be understood that many variations and modifications are possible while remaining within the scope of the disclosure.

Claims
  • 1. A laminate glass article, comprising: a glass device substrate bonded to a glass carrier substrate with at least one interfacial bonded surface that has been treated with a plasma selected from:a fluoropolymer;the reaction products of a fluorinated etching agent;or a combination thereof,
  • 2. The article of claim 1 wherein the glass device substrate has a thickness of from about 20 to about 500 microns, the glass carrier substrate has a thickness of about 200 micron to about 3 mm, and the thickness of the interfacial bonding layer between the glass device substrate and the glass carrier substrate is from about a single atomic layer to about 100 nanometer.
  • 3. The article of claim 1 further comprising the non-bonded second surface of the glass device substrate in the laminate article has at least one device modified surface area.
  • 4. The article of claim 1 wherein the glass device substrate has a plurality of holes therein.
CROSS-REFERENCE TO RELATED APPLICATIONS

This is a continuation application of U.S. application Ser. No. 15/302,098, filed Oct. 5, 2016, which claims the benefit of priority to U.S. Patent Application No. 61/977,364, filed on Apr. 9, 2014, entitled DEVICE MODIFIED SUBSTRATE ARTICLE AND METHODS FOR MAKING, the content of which is incorporated herein by reference in its entirety. This application is related to, but does not claim priority to, commonly owned and assigned patent Applications: U.S. Ser. No. 61/596,727, filed Feb. 8, 2012, entitled “PROCESSING FLEXIBLE GLASS WITH A CARRIER”; U.S. Ser. No. 14/053,093, filed Oct. 14, 2013, entitled “ARTICLES WITH LOW-ELASTIC MODULUS LAYER AND RETAINED STRENGTH”; U.S. Ser. No. 14/053,139, filed Oct. 14, 2013, entitled “LAMINATE ARTICLES WITH MODERATE ADHESION AND RETAINED STRENGTH”; U.S. Ser. No. 61/736,880, filed Dec. 13, 2012, entitled “FACILITATED PROCESSING FOR CONTROLLING BONDING BETWEEN SHEET AND CARRIER”; and U.S. Ser. No. 61/736,887, filed Dec. 12, 2012, entitled “GLASS AND METHODS OF MAKING GLASS ARTICLES.” This application is related to, but does not claim priority to, U.S. Ser. No. 14/248,868, filed on Apr. 9, 2014 entitled “GLASS ARTICLES HAVING FILMS WITH MODERATE ADHESION AND RETAINED STRENGTH.” The entire disclosure of any publication or patent document mentioned herein is entirely incorporated by reference.

US Referenced Citations (297)
Number Name Date Kind
3722181 Kirkland et al. Mar 1973 A
4096315 Kubacki Jun 1978 A
4179324 Kirkpatrick Dec 1979 A
4397722 Haller Aug 1983 A
4599243 Sachdev et al. Jul 1986 A
4810326 Babu et al. Mar 1989 A
4822466 Rabalais et al. Apr 1989 A
4849284 Arthur et al. Jul 1989 A
4990462 Sliwa, Jr. Feb 1991 A
5073181 Foster et al. Dec 1991 A
5141800 Effenberger et al. Aug 1992 A
5222494 Baker, Jr. Jun 1993 A
5357726 Effenberger et al. Oct 1994 A
5413940 Lin et al. May 1995 A
5462781 Zukowski Oct 1995 A
5479043 Nuyen Dec 1995 A
5482896 Tang Jan 1996 A
5491571 Williams et al. Feb 1996 A
5554680 Ojakaar Sep 1996 A
5616179 Baldwin et al. Apr 1997 A
5661618 Brown et al. Aug 1997 A
5718967 Hu et al. Feb 1998 A
5755867 Chikuni et al. May 1998 A
5820991 Cabo Oct 1998 A
5840616 Sakaguchi et al. Nov 1998 A
5888591 Gleason et al. Mar 1999 A
5904791 Bearinger et al. May 1999 A
5966622 Levine et al. Oct 1999 A
5972152 Lake et al. Oct 1999 A
6037026 Iwamoto Mar 2000 A
6091478 Tanaka et al. Jul 2000 A
6124154 Miyasaka Sep 2000 A
6159385 Yao et al. Dec 2000 A
6261398 Costa Jul 2001 B1
6338901 Veerasamy Jan 2002 B1
6379746 Birch et al. Apr 2002 B1
6387736 Cao et al. May 2002 B1
6521511 Inoue et al. Feb 2003 B1
6521857 Barnett Feb 2003 B1
6528145 Berger et al. Mar 2003 B1
6540866 Zhang et al. Apr 2003 B1
6602606 Fujisawa et al. Aug 2003 B1
6645828 Farrens et al. Nov 2003 B1
6649540 Wang et al. Nov 2003 B2
6687969 Dando Feb 2004 B1
6699798 Rockford Mar 2004 B2
6735982 Matthies May 2004 B2
6762074 Draney et al. Jul 2004 B1
6814833 Sabia Nov 2004 B2
6815070 Burkle et al. Nov 2004 B1
6969166 Clark et al. Nov 2005 B2
6974731 Yamazaki et al. Dec 2005 B2
6992371 Mancini et al. Jan 2006 B2
7041608 Sieber et al. May 2006 B2
7045878 Faris May 2006 B2
7056751 Faris Jun 2006 B2
7060323 Sugahara et al. Jun 2006 B2
7118990 Xu et al. Oct 2006 B1
7129311 Teff et al. Oct 2006 B2
7144638 Leung et al. Dec 2006 B2
7147740 Takayama et al. Dec 2006 B2
7166520 Henley Jan 2007 B1
7220656 Forbes May 2007 B2
7232739 Kerdiles et al. Jun 2007 B2
7261793 Chen et al. Aug 2007 B2
7351300 Takayama et al. Apr 2008 B2
7364942 Martin Apr 2008 B2
7407867 Ghyselen et al. Aug 2008 B2
7408006 Comino et al. Aug 2008 B2
7466390 French et al. Dec 2008 B2
7482249 Jakob et al. Jan 2009 B2
7531590 Teff et al. May 2009 B2
7541264 Gardner et al. Jun 2009 B2
7574787 Xu et al. Aug 2009 B2
7575983 Hu et al. Aug 2009 B2
7635617 Yamazaki Dec 2009 B2
7642559 Yamazaki et al. Jan 2010 B2
7737035 Lind et al. Jun 2010 B1
7741775 Yamazaki et al. Jun 2010 B2
7749862 Schwarzenbach et al. Jul 2010 B2
7763365 Takeuchi et al. Jul 2010 B2
7842548 Lee et al. Nov 2010 B2
7902038 Aspar et al. Mar 2011 B2
7909928 Lahann et al. Mar 2011 B2
7939425 Hu et al. May 2011 B2
7960840 Bonifield et al. Jun 2011 B2
7960916 Kawachi Jun 2011 B2
7978282 An et al. Jul 2011 B2
7989314 Lee et al. Aug 2011 B2
8012667 Nam et al. Sep 2011 B2
8034206 Kim et al. Oct 2011 B2
8034452 Padiyath et al. Oct 2011 B2
8043697 Murakami et al. Oct 2011 B2
8048794 Knickerbocker Nov 2011 B2
8069229 Yellapragada et al. Nov 2011 B2
8105935 Ohara et al. Jan 2012 B2
8138614 Yamazaki et al. Mar 2012 B2
8173249 Leu et al. May 2012 B2
8211259 Sato et al. Jul 2012 B2
8211270 Suzuki et al. Jul 2012 B2
8236669 Hong et al. Aug 2012 B2
8268939 Ebbrecht et al. Sep 2012 B2
8349727 Guo et al. Jan 2013 B2
8383460 Yim Feb 2013 B1
8399047 Lahann et al. Mar 2013 B2
8580069 Watanabe et al. Nov 2013 B2
8590688 Weigl Nov 2013 B2
8609229 Kondo Dec 2013 B2
8656735 Tamitsuji et al. Feb 2014 B2
8660052 Liang et al. Feb 2014 B2
8697228 Carre et al. Apr 2014 B2
8697728 Ashrafian et al. Apr 2014 B2
8822306 Berger et al. Sep 2014 B2
8840999 Harimoto et al. Sep 2014 B2
8993706 Schubert et al. Mar 2015 B2
8995146 Brooks et al. Mar 2015 B2
9069133 Baldwin et al. Jun 2015 B2
9131587 Zhou Jun 2015 B2
9111981 Flaim et al. Aug 2015 B2
9269826 Hosono et al. Feb 2016 B2
9612455 Nicolson et al. Apr 2017 B2
9805941 Kanarik et al. Oct 2017 B2
9889635 Bellman et al. Feb 2018 B2
10046542 Adib et al. Aug 2018 B2
10086584 Bellman et al. Oct 2018 B2
10543662 Bellman Jan 2020 B2
20010045351 Koh et al. Nov 2001 A1
20020171080 Faris Nov 2002 A1
20030017303 Shindo et al. Jan 2003 A1
20030020049 Payne et al. Jan 2003 A1
20030020062 Faris Jan 2003 A1
20030057563 Nathan et al. Mar 2003 A1
20030119336 Matsuki et al. Jun 2003 A1
20030175525 Wochnowski et al. Sep 2003 A1
20030210853 Kato Nov 2003 A1
20030228413 Ohta et al. Dec 2003 A1
20040033358 Coates et al. Feb 2004 A1
20040044100 Schlenoff et al. Mar 2004 A1
20040217352 Forbes Nov 2004 A1
20040222500 Aspar et al. Nov 2004 A1
20040247949 Akedo Dec 2004 A1
20040248378 Ghyselen et al. Dec 2004 A1
20040258850 Straccia et al. Dec 2004 A1
20050001201 Bocko et al. Jan 2005 A1
20050029224 Aspar et al. Feb 2005 A1
20050059218 Faris Mar 2005 A1
20050069713 Gupta et al. Mar 2005 A1
20050081993 Ilkka et al. Apr 2005 A1
20050118595 Lahann Jun 2005 A1
20050118742 Henning et al. Jun 2005 A1
20050136188 Chang Jun 2005 A1
20050175851 Bordunov et al. Aug 2005 A1
20050224155 Chen et al. Oct 2005 A1
20050242341 Knudson et al. Nov 2005 A1
20060091104 Takeshita et al. May 2006 A1
20060134362 Lu et al. Jun 2006 A1
20060165975 Moser et al. Jul 2006 A1
20060166464 Jakob et al. Jul 2006 A1
20060192205 Yamazaki et al. Aug 2006 A1
20060246218 Bienkiewicz et al. Nov 2006 A1
20060250559 Bocko et al. Nov 2006 A1
20060264004 Tong et al. Nov 2006 A1
20070048530 Tsao et al. Mar 2007 A1
20070077353 Lee et al. Apr 2007 A1
20070077728 Kulkarni et al. Apr 2007 A1
20070077782 Lee et al. Apr 2007 A1
20070091062 French et al. Apr 2007 A1
20070105252 Lee et al. May 2007 A1
20070105339 Faris May 2007 A1
20070111391 Aoki et al. May 2007 A1
20070134784 Halverson et al. Jun 2007 A1
20070181938 Bucher et al. Aug 2007 A1
20070248809 Haldeman et al. Oct 2007 A1
20080044588 Sakhrani Feb 2008 A1
20080053959 Tong et al. Mar 2008 A1
20080090380 Gardner et al. Apr 2008 A1
20080111786 Goudarzi May 2008 A1
20080135175 Higuchi Jun 2008 A1
20080173992 Mahler et al. Jul 2008 A1
20080212000 French et al. Sep 2008 A1
20080309867 Kampstra Dec 2008 A1
20090020414 Tsao et al. Jan 2009 A1
20090091025 Wong et al. Apr 2009 A1
20090110882 Higuchi Apr 2009 A1
20090126404 Sakhrani et al. May 2009 A1
20090133820 Sato et al. May 2009 A1
20090218560 Flaim et al. Sep 2009 A1
20090227074 Hong et al. Sep 2009 A1
20090261062 Kim Oct 2009 A1
20090262294 Templier et al. Oct 2009 A9
20090266471 Kim et al. Oct 2009 A1
20090321005 Higuchi et al. Dec 2009 A1
20100018505 Ma et al. Jan 2010 A1
20100038023 Kho et al. Feb 2010 A1
20100089096 Tamitsuji Apr 2010 A1
20100101719 Otsuka et al. Apr 2010 A1
20100151231 Matsuo et al. Jun 2010 A1
20100187980 Langer et al. Jul 2010 A1
20100224320 Tsai et al. Sep 2010 A1
20100308014 Cheshire Dec 2010 A1
20100316871 Fujiwara et al. Dec 2010 A1
20110001251 Gou et al. Jan 2011 A1
20110002636 Ando et al. Jan 2011 A1
20110010905 Sturzebecher Jan 2011 A1
20110012873 Prest et al. Jan 2011 A1
20110024166 Chang et al. Feb 2011 A1
20110026236 Kondo et al. Feb 2011 A1
20110042649 Duvall et al. Feb 2011 A1
20110045239 Takaya et al. Feb 2011 A1
20110048611 Carre et al. Mar 2011 A1
20110064953 O'Rourke et al. Mar 2011 A1
20110069467 Flaim et al. Mar 2011 A1
20110092006 An et al. Apr 2011 A1
20110102346 Orsley et al. May 2011 A1
20110111194 Carre et al. May 2011 A1
20110123787 Tomamoto et al. May 2011 A1
20110170991 Weigl Jul 2011 A1
20110207328 Speakman Aug 2011 A1
20110227086 French Sep 2011 A1
20110250427 Kotov et al. Oct 2011 A1
20110256385 Matsuzaki et al. Oct 2011 A1
20110272090 Higuchi Nov 2011 A1
20110304794 Noh et al. Dec 2011 A1
20110308739 McCutcheon et al. Dec 2011 A1
20110311789 Loy et al. Dec 2011 A1
20110318544 Chen et al. Dec 2011 A1
20110318589 Pignatelli et al. Dec 2011 A1
20120009703 Feinstein et al. Jan 2012 A1
20120034437 Puligadda et al. Feb 2012 A1
20120035309 Zhu et al. Feb 2012 A1
20120045611 Shih et al. Feb 2012 A1
20120052654 Yang et al. Mar 2012 A1
20120061881 Bae et al. Mar 2012 A1
20120063952 Hong et al. Mar 2012 A1
20120080403 Tomamoto et al. Apr 2012 A1
20120083098 Berger et al. Apr 2012 A1
20120107978 Shin et al. May 2012 A1
20120118478 Park et al. May 2012 A1
20120132885 Lippert et al. May 2012 A1
20120135187 Takimoto et al. May 2012 A1
20120153496 Lee et al. Jun 2012 A1
20120156457 Kondo Jun 2012 A1
20120156480 Kondo et al. Jun 2012 A1
20120171454 Kondo Jul 2012 A1
20120193029 Fay et al. Aug 2012 A1
20120202010 Uchida Aug 2012 A1
20120202030 Kondo et al. Aug 2012 A1
20120223049 Yoshikawa et al. Sep 2012 A1
20120228617 Ko et al. Sep 2012 A1
20120235315 Wu et al. Sep 2012 A1
20120258320 Berger Oct 2012 A1
20120272800 Lacan et al. Nov 2012 A1
20120276689 Canale et al. Nov 2012 A1
20120315470 Hanaki et al. Dec 2012 A1
20120329249 Ahn et al. Dec 2012 A1
20130037960 Sadaka et al. Feb 2013 A1
20130115441 Bookbinder et al. May 2013 A1
20130188324 Lee et al. Jul 2013 A1
20130192574 Maeda Aug 2013 A1
20130192754 Dukkipati et al. Aug 2013 A1
20130203265 Hsiao Aug 2013 A1
20130209731 Nattermann et al. Aug 2013 A1
20130230265 Hubbard et al. Sep 2013 A1
20130239617 Dannoux Sep 2013 A1
20130270533 Crispin et al. Oct 2013 A1
20130280495 Matsumoto Oct 2013 A1
20140050911 Mauro et al. Feb 2014 A1
20140106141 Bellman et al. Apr 2014 A1
20140106150 Decker et al. Apr 2014 A1
20140130969 McCutcheon et al. May 2014 A1
20140150244 Liu et al. Jun 2014 A1
20140165654 Bellman et al. Jun 2014 A1
20140166199 Bellman Jun 2014 A1
20140170378 Bellman Jun 2014 A1
20140210075 Lee et al. Jul 2014 A1
20140220327 Adib Aug 2014 A1
20140295590 Oh et al. Oct 2014 A1
20150060869 Ro et al. Mar 2015 A1
20150060870 Ro et al. Mar 2015 A1
20150086794 Akita Mar 2015 A1
20150099110 Bellman et al. Apr 2015 A1
20150102498 Enicks et al. Apr 2015 A1
20150266276 Vissing et al. Sep 2015 A1
20150306847 Bellman et al. Oct 2015 A1
20150329415 Bellman et al. Nov 2015 A1
20160009958 Moore et al. Jan 2016 A1
20160017196 Moore et al. Jan 2016 A1
20160035764 Watts Feb 2016 A1
20160083835 Adib Mar 2016 A1
20160211803 Ji et al. Jul 2016 A1
20160329415 He et al. Nov 2016 A1
20170036419 Adib Feb 2017 A1
20170182744 Bellman Jun 2017 A1
20170320771 Adib Nov 2017 A1
20180126705 Adib May 2018 A1
20180269071 Le et al. Sep 2018 A1
20180297324 Adib et al. Oct 2018 A1
Foreign Referenced Citations (173)
Number Date Country
101924067 Dec 2010 CN
102034746 Apr 2011 CN
102070120 May 2011 CN
101916022 Oct 2012 CN
102789125 Nov 2012 CN
102815052 Dec 2012 CN
102820262 Dec 2012 CN
103042803 Apr 2013 CN
10034737 Feb 2002 DE
10323303 Apr 2004 DE
10323304 Apr 2004 DE
10353530 Jun 2005 DE
0737258 Oct 1996 EP
1628339 Feb 2006 EP
1914066 Apr 2008 EP
2025650 Feb 2009 EP
2128105 Dec 2009 EP
2270865 Jan 2011 EP
2273475 Jan 2011 EP
2398040 Dec 2011 EP
2331436 Dec 2012 EP
2893750 May 2007 FR
1082116 Sep 1967 GB
1373359 Nov 1974 GB
1373559 Nov 1974 GB
1583544 Jan 1981 GB
2481187 Dec 2011 GB
200906585 May 2012 IN
1993096235 Apr 1993 JP
05-111620 May 1993 JP
2000241804 Sep 2000 JP
2002348150 Dec 2002 JP
2003071937 Mar 2003 JP
2003077187 Mar 2003 JP
2004-066664 Mar 2004 JP
2004178891 Jun 2004 JP
2005014599 Jan 2005 JP
2005300972 Oct 2005 JP
2006003684 Jan 2006 JP
2007138144 Jun 2007 JP
4036018 Jan 2008 JP
2008072087 Mar 2008 JP
2008123948 May 2008 JP
2009028922 Feb 2009 JP
2009028923 Feb 2009 JP
2009074002 Apr 2009 JP
2009-131732 Jun 2009 JP
2009184172 Aug 2009 JP
2009283155 Dec 2009 JP
2010018505 Jan 2010 JP
2010167484 Aug 2010 JP
2010-248011 Nov 2010 JP
4625913 Feb 2011 JP
2011-048979 Mar 2011 JP
2011-058579 Mar 2011 JP
2011-159697 Aug 2011 JP
2011201976 Oct 2011 JP
2011201977 Oct 2011 JP
2011235532 Nov 2011 JP
2011235556 Nov 2011 JP
2011236349 Nov 2011 JP
2011-248011 Dec 2011 JP
2012-035532 Feb 2012 JP
2012030424 Feb 2012 JP
2012-119611 Jun 2012 JP
2012-166999 Sep 2012 JP
5057657 Oct 2012 JP
2012209545 Oct 2012 JP
2012-212939 Nov 2012 JP
2012-227310 Nov 2012 JP
2012-248011 Dec 2012 JP
5111620 Jan 2013 JP
2013010340 Jan 2013 JP
2013010342 Jan 2013 JP
2013184346 Sep 2013 JP
2013184872 Sep 2013 JP
2013-224475 Oct 2013 JP
2013207084 Oct 2013 JP
2014019597 Feb 2014 JP
2015093405 May 2015 JP
2015093795 May 2015 JP
2015116694 Jun 2015 JP
2015-209471 Nov 2015 JP
2016-106047 Jun 2016 JP
2017-500259 Jan 2017 JP
2017-500753 Jan 2017 JP
2017-087417 May 2017 JP
10-2008-0096372 Oct 2008 KR
2008113576 Dec 2008 KR
10-2009-0114195 Nov 2009 KR
10-2010-0051499 May 2010 KR
10-2010-0057521 May 2010 KR
10-2010-0112628 Oct 2010 KR
10-1002936 Dec 2010 KR
10-2011-0033284 Mar 2011 KR
10-2011-0043376 Apr 2011 KR
20110045136 May 2011 KR
10-2011-0068352 Jun 2011 KR
10-1073032 Oct 2011 KR
10-2011-0134708 Dec 2011 KR
10-2012-0023063 Mar 2012 KR
10-2012-0033284 Apr 2012 KR
2012031698 Apr 2012 KR
10-2012-0057346 Jun 2012 KR
10-2012-0064676 Jun 2012 KR
10-2012-0069608 Jun 2012 KR
20120056227 Jun 2012 KR
10-1164945 Jul 2012 KR
10-2012-0098640 Sep 2012 KR
20120100274 Sep 2012 KR
2013003997 Jan 2013 KR
2013044774 May 2013 KR
20130095605 Aug 2013 KR
2013104534 Sep 2013 KR
10-2015-0038177 Apr 2015 KR
10-1522941 Jun 2015 KR
201315593 Apr 2013 TW
201332768 Aug 2013 TW
201442968 Nov 2014 TW
201545886 Dec 2015 TW
201545887 Dec 2015 TW
9222604 Dec 1992 WO
9517537 Jun 1995 WO
0284722 Oct 2002 WO
0344079 May 2003 WO
2005048669 May 2005 WO
2006093639 Sep 2006 WO
2007018028 Feb 2007 WO
2007121524 Nov 2007 WO
2008007622 Jan 2008 WO
2008044884 Apr 2008 WO
2010051106 May 2010 WO
2010059710 May 2010 WO
2010079688 Jul 2010 WO
2010098762 Sep 2010 WO
2010121524 Oct 2010 WO
2010128611 Nov 2010 WO
2010129459 Nov 2010 WO
2011031507 Mar 2011 WO
2011048979 Apr 2011 WO
2011104500 Sep 2011 WO
2011142280 Nov 2011 WO
2012000686 Jan 2012 WO
2012057893 May 2012 WO
2012074952 Jun 2012 WO
2012144499 Oct 2012 WO
2013006865 Jan 2013 WO
2013044941 Apr 2013 WO
2013058217 Apr 2013 WO
2013119737 Aug 2013 WO
WO-2013119737 Aug 2013 WO
2013179881 Dec 2013 WO
2014050798 Apr 2014 WO
2014093193 Jun 2014 WO
2014093740 Jun 2014 WO
2014093775 Jun 2014 WO
2014093776 Jun 2014 WO
2014142280 Sep 2014 WO
2014151353 Sep 2014 WO
2014163035 Oct 2014 WO
2015054098 Apr 2015 WO
2015057605 Apr 2015 WO
2015112958 Jul 2015 WO
2015113020 Jul 2015 WO
2015113023 Jul 2015 WO
2015119210 Aug 2015 WO
2015156395 Oct 2015 WO
2015157202 Oct 2015 WO
2015163134 Oct 2015 WO
2016017645 Feb 2016 WO
2016187186 Nov 2016 WO
2016209897 Dec 2016 WO
2018038961 Mar 2018 WO
Non-Patent Literature Citations (79)
Entry
ISR from PCT/US2015/013017.
ISR from WO2014/093193.
ISR from WO2014/093740.
ISR from WO2014/093775.
ISR from WO2014/093776.
ISR from WO2014/151353 (PCT/US2014/025537).
ISR from WO2015/054098.
ISR from WO2015/057605.
ISR fromPCT/US2015/012865.
Morita et al; “Applications of Plasma Polymerization”; Pure & Appl. Chem., vol. 57, No. 9 pp. 1277-1286 (1985).
Biedermam; “The Properties of Films Prepared by the RF Sputtering of PTFE and Plasma Polymerization of Some Freons”; Vacuum, Vol. 31, No. 7, Jan. 1, 1981, pp. 285-289.
Boscher et al; “Influence of Cyclic Organosilicon Precursors on the Corrosion of Aluminium Coated Sheet by Atmospheric Pressure Dielectric Barrier Discharge”; Surface and Coatings Technology 205; (2011) 5350-5357.
Cech et al; “Surface-Free Energy of Silicon-Based Plasma Polymer Films”; Silanes and Other Coupling Agents, vol. 5, 2009; pp. 333-348.
Chemraz “Perfluoroelastomers—Seals That Withstand the Test of Time” , Greene Tweed Medical & Biotechnology, 2000, 4 Pages.
Chiao et al; “Worldwide First Ultra-Thin LTPS-TFT LCD by a Glass on Carrier Technology”; V3, Submitted to SID 2015; 5 Pages.
Dupont Kalrez Perfluoroelastomer Parts—Physical Properties and Product Comparisons, Technical Information—Rev. 11, Sep. 2012; 4 Pages.
Girifalco et al; “A Theory for the Estimation of Surface and Interfacial Energies. I. Derivation and Application to Interfacial Tension”; Applied Science Research Lab, Cincinnati Ohio; vol. 61 (1956); pp. 904-909.
Groenewoud et al; “Plasma Polymerization of Thiophene Derivatives”; Langmuir, 2003, vol. 19, No. 4; pp. 1368-1374.
Haller; “Polymerization of Aromatic Silanes in RF Plasmas”; J. Electrochem Soc.: Solid-State Science and Technology; vol. 129, No. 1; Jan. 1982; pp. 180-183.
Haque et al; “Preparation and Properties of Plasma-Deposited Films With Surface Energies Varying Over a Wide Range”; Journal of Applied Polymer Science, vol. 32, 4369-4381 (1986.
Hiltz; Techniques for the Characterization of Fluoroelastomers, Defence R&D Canada—Atlantic Technical Memorandum Dec. 2009; 52 Pages.
Iller, John Wiley and Sons; “The Surface Chemistry of Silica” ; Chapter 6, 1979, pp. 622-656.
International Search Report and Written Opinion of the International Searching Authority; PCT/US2013/073785; dated March 24, 2014; 11 Pages; Korean Patent Office.
International Search Report and Written Opinion of the International Searching Authority; PCT/US2013/074859; dated March 25, 2014; 10 Pages; Korean Patent Office.
International Search Report and Written Opinion of the International Searching Authority; PCT/US2013/074924; dated Mar. 27, 2014; 14 Pages; Korean Patent Office.
International Search Report and Written Opinion of the International Searching Authority; PCT/US2013/074926; dated Mar. 21, 2014; 13 Pages; European Patent Office.
International Search Report and Written Opinion of the International Searching Authority; PCT/US2014/059237; dated Mar. 11, 2015; 15 Pages; European Patent Office.
International Search Report and Written Opinion of the International Searching Authority; PCT/US2014/060340; dated Jan. 29, 2015; 13 Pages; European Patent Office.
International Search Report and Written Opinion of the International Searching Authority; PCT/US2016/038663; dated Sep. 23, 2016; 11 Pages; European Patent Office.
International Search Report of the International Searching Authority; PCT/US2016/032843; dated Aug. 10, 2016; 14 Pages; European Patent Office.
Jaszewski et al; “The Deposition of Anti-Adhesive Ultra-Thin Teflon-Like Films and Their Interaction With Polymers During Hot Embossing”; Applied Surface Science, 143 (1999) 301-308.
Kuritka et al; “Mass Spectrometry Characterization of Methylphenylsilane-Hydrogen RF Plasmas”; Plasma Process. Polym. 2007, 4, 53-61.
Lowe et al; “Plasma Polymerized P-Xylene as a Laser Fusion Target”; Surface Science, 1978, vol. 76, No. 1; pp. 242-256.
Lubguban, Jr. et al; “Low-K Organosilicate Films Prepared by Tetravinyltetramethylcyclotetrasiloxane”, J. of Applied Physics, V92, N2, p. 1033-1038 , 2002.
Merche et al; “Atmospheric Plasmas for Thin Film Deposition: A Critical Review”; Thin Solid Films 520, (2012) 4219-4236.
Nagai et al; “Structure and Morphology of Phenylsilanes Polymer Films Synthesized by the Plasma Polymerization Method”; Journal of Material Science 33, (1998); 1897-1905.
Nehlsen et al; “Gas Permeation Properties of Plasma Polymerized Thin Film Siloxane-Type Membranes for Temperature Up to 350C”; Journal of Membrane Science; 106 (1995) 1-7.
Nouicer et al; “Superhydrophobic Surface Produced on Polyimide and Silicon by Plasma Enhanced Chemical Vapour Deposition From Hexamethyldisiloxane Precursor”; International Journal of Nanotechnology, vol. 12, Nos. 8/9, 2015; pp. 597-607.
Parker et al; “Surface Forces Between Plasma Polymer Films”; Langmuir 1994, 10, 276-2773.
Perlast G67P—Technical Data Sheet, Issue 4, Revision 1, Jan. 2006; 1 Page.
Wu; “Polymer Interface and Adhesion”; Modifications of Polymer Surfaces, Chapter 9—Marcel Dekker; pp. 298-321.
Rouessac et al; “Precursor Chemistry for ULK CVD”, Microelectronic Engineering, V82, p. 333-340, 2005.
Salyk et al; “Plasma Polymerisation of Methylphenylsilane”; Surface & Coatings Technology, 20, (2005) pp. 486-489.
Shieh et al; “Modifications of Low Dielectric Constant Fluorinated Amorphous Carbon Films by Multiple Plasma Treatments”; J. Electro. Soc.; 149 (7) G384-G390 (2002.
Sindorf et al; “Cross-Polarization/Magic-Angle-Spinning Silicon-29 Nuclear Magnetic Resonance Study of Silica Gel Using Trimethylsilane Bonding as a Probe of Surface Geometry and Reactivity”; J. Phys. Chem. 1982, 86, 5208-85219.
Stoffels et al; “Polymerization of Fluorocarbons in Reactive Ion Etching Plasma”; Journal of Vacuum Science and Technology; Part A, AVS / AIP, Melville, NY, vol. 16, No. 1, Jan. 1, 1998, pp. 87-95.
Suratawal et al; “Surface Chemistry and Trimethylsilyl Functionalization of Stober Silica Sols”; Journal of Non-Crystalline Solids 316 (2003), pp. 349-363.
Terlingen et al; “Plasma Modification of Polymeric Surfaces for Biomedical Applications”; Advanced Biomaterials in Biomedical Engineering and Drug Delivery Systems, 1996; pp. 38-42.
Tillet et al; “Crosslinking of Fluoroelastomers by “Click” Azide-Nitride Cyloaddtion”; Journal of Polymer Science, Part A: Polymer Chemistry; 2015, 53, pp. 1171-1173.
Tong et al; “Reversible Silicon Wafer Bonding for Surface Protection: Water-Enhanced Debonding”; J. Electrochem. Soc., vol. 139, No. 11, Nov. 1992, pp. L101-L102.
Trelleborg Sealing Solutions, Isolast® Perfluororelastomer Seals, Edition Nov. 2008; pp. 1-16; www.tss.trelleborg.com.
Van De Ven et al; “Deactivation With Silazanes in Chromatography, Mechanism of the Reaction and Practical Consequences in Capillary GC and RP-HPLC: A29SI CP-MAS NMR Study”; Journal of High Resolution Chromatography & Chromatography Communications; vol. 9, 1986; pp. 741-746.
Wang et al; “Dynamic Contact Angles and Contact Angle Hysteresis of Plasma Polymers”; Langmuir 1994, 10, pp. 3887-3897.
Wu, “Calculation of Interfacial Tension in Polymer Systems”; J. Polymer. Sci.: Part C, No. 34, pp. 19-30 (1971.
Zhuravlev; “The Surface Chemistry of Amorphous Silica. Zhuravlev Model”; Colloids and Surfaces A: Physicochemical and Engineering Aspects; 173 (2000) 1-38.
2006 Gelest Brochure on Thermal Stability of Silanes, p. 14.
2014 Gelest Brochure—Silane Coupling Agents Connecting Across Boundaries.
3M Dyneon High Temperature Perfluoroelastomer PFE 4131TZ—Technical Data.
ASTM International E595-07, Standard Test Method for Total Mass Loss and Collected Volatile Condensable Materials from Outgassing in a Vacuum Environment (2013).
ISR from PCT/US2015/013012.
English Translation of JP2016547990 Office Action dated Mar. 27, 2019; 3 Pages; Japanese Patent Office.
European Patent Application No. 15740126.6 Office Action dated Jul. 9, 2020; 5 Pages; European Patent Office.
Extended European Search Report and Written Opinion; 15740126.6; dated Jul. 4, 2017; 9 pages; European Patent Office.
Hair; “Hydroxyl Groups on Silica Surface”, Journal of Non-Crystalline Solids; 19 (1975) 299-309, .Copyrgt. North-Holland Publishing.
International Preliminary Report on Patentability of the International Searching Authority; PCT/US15/12865; dated Aug. 11, 2016; 7 Pages; Korean Intellectual Property Office.
International Search Report and Writien Opinion of the International Searching Authority; PCT/US2017/049019; dated Dec. 11, 2017; 14 Pages; Korean Intellectual Property Office.
International Search Report and Writien Opinion of the International Searching Authority; PCT/US2018/047056; dated Dec. 7, 2018; 10 Pages; European Patent Office.
International Search Report and Written Opinion of the International Searching Aurthority; PCT/US2017/046836; dated Feb. 7, 2018; 11 Pages; Korean Intellectual Property Office.
Invitation to Pay Additional Fees From the International Searching Aurthority; PCT/US2017/049025; dated Nov. 21, 2017; 14 Pages; European Patent Office.
ISR from WO2014/151353.
Kuschnereit et al; “Mechanical and Elastic Properties of Amorphous Hydrogenated Silicon Films Studied by Broadband Surface Acoustic Wave Spectroscopy”; Appl. Phys. A 61, 269-276 (1995).
Maszara et al; “Bonding of Silicon Wafers for Silicon-On-Insulators”; J. Appl. Phys. 64 (10), Nov. 15, 1988; pp. 4943-4950.
Mazumder et al (WO 2015-112958), Jul. 30, (Year: 2015).
McMillian et al; “Hydroxyl Sites in SiO2 Glass: A Note on Irfrared and Raman Spectra”, American Mineralogist, vol. 71 pp. 772-778, 1986.
Oujja et al; “Multiphoton Dissociation of Phenylsilane Upon Excitation at 212.5NM”; Laser Chem., vol. 16, pp. 157-166.
Tauc et al; “Optical Properties and Electronic Structure of Amorphous Germanium”; Phys. Stat. Sol 15, (1966) pp. 627-637.
Weidman et al; “New Photodefinable Glass Etch Masks for Entirely Dry Photolithography Plasma Deposited Organosilicon Hydride Polymers”; Appl. Phys. Leti. 62 (4), Jan. 25, 1993 pp. 372-374.
Worldwide First Ultra-thin LTPS-TFT LCD by a Glass on Carrier Technology, Chiao, et al., v3, submitted to SID 2015.
Sohn, et al., “Effects of plasma polymerized para-xylene intermediate layers on characteristics of flexible organic light emitting diodes fabricated on polyethylene terephthalate substrates” Journal of Alloys and Compounds, 449, 191-195, 2008. (Year: 2008).
Related Publications (1)
Number Date Country
20200139677 A1 May 2020 US
Provisional Applications (1)
Number Date Country
61977364 Apr 2014 US
Continuations (1)
Number Date Country
Parent 15302098 US
Child 16732845 US