Etch suppression with germanium

Information

  • Patent Grant
  • 9576809
  • Patent Number
    9,576,809
  • Date Filed
    Monday, May 5, 2014
    10 years ago
  • Date Issued
    Tuesday, February 21, 2017
    7 years ago
Abstract
Methods of selectively etching silicon relative to silicon germanium are described. The methods include a remote plasma etch using plasma effluents formed from a fluorine-containing precursor and a hydrogen-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the silicon. The plasmas effluents react with exposed surfaces and selectively remove silicon while very slowly removing other exposed materials. The methods are useful for removing Si(1-X)GeX faster than Si(1-Y)GeY, for X
Description
FIELD

This invention relates to cleaning and selectively etching or retaining silicon germanium.


BACKGROUND

Integrated circuits are made possible by processes which produce intricately patterned material layers on substrate surfaces. Producing patterned material on a substrate requires controlled methods for removal of exposed material. Chemical etching is used for a variety of purposes including transferring a pattern in photoresist into underlying layers, thinning layers or thinning lateral dimensions of features already present on the surface. Often it is desirable to have an etch process which etches one material faster than another helping e.g. a pattern transfer process proceed. Such an etch process is said to be selective of the first material. As a result of the diversity of materials, circuits and processes, etch processes have been developed that selectively remove one or more of a broad range of materials.


Dry etch processes are often desirable for selectively removing material from semiconductor substrates. The desirability stems from the ability to gently remove material from miniature structures with minimal physical disturbance. Dry etch processes also allow the etch rate to be abruptly stopped by removing the gas phase reagents. Some dry-etch processes involve the exposure of a substrate to remote plasma by-products formed from one or more precursors. For example, remote plasma excitation of ammonia and nitrogen trifluoride enables silicon oxide to be selectively removed from a patterned substrate when the plasma effluents are flowed into the substrate processing region. Remote plasma etch processes have recently been developed to selectively remove a variety of dielectrics relative to one another. However, few dry-etch processes have been developed to selectively remove silicon while retaining silicon germanium.


Methods are needed to selectively etch metal oxides using dry etch processes.


SUMMARY

Methods of selectively etching silicon relative to silicon germanium are described. The methods include a remote plasma etch using plasma effluents formed from a fluorine-containing precursor and a hydrogen-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the silicon. The plasmas effluents react with exposed surfaces and selectively remove silicon while very slowly removing other exposed materials. The methods are useful for removing Si(1-X)GeX faster than Si(1-Y)GeY, for X<Y. In some embodiments, the silicon germanium etch selectivity results partly from the presence of an ion suppression element positioned between the remote plasma and the substrate processing region.


Embodiments include methods of etching silicon. The methods include flowing a fluorine-containing precursor and a hydrogen-containing precursor into a remote plasma region fluidly coupled to a substrate processing region via through-holes in a showerhead. The methods further include forming a remote plasma in the remote plasma region to produce plasma effluents from the fluorine-containing precursor and the hydrogen-containing precursor. The methods further include etching the silicon from a substrate disposed within the substrate processing region by flowing the plasma effluents into the substrate processing region through the through-holes in the showerhead.


Embodiments include methods of etching silicon. The methods include flowing a fluorine-containing precursor and a hydrogen-containing precursor into a remote plasma region fluidly coupled to a substrate processing region via through-holes in a showerhead. Flowing the fluorine-containing precursor and the ammonia includes maintaining an atomic flow rate (H:F) greater than 2:1. The methods further include forming a remote plasma in the remote plasma region to produce plasma effluents from the fluorine-containing precursor and the hydrogen-containing precursor. The methods further include etching the silicon from a substrate disposed within the substrate processing region by flowing the plasma effluents into the substrate processing region through the through-holes in the showerhead. Etching the silicon includes forming pyramidal pits into the substrate.


Embodiments include methods of etching a semiconducting layer. The methods include transferring a patterned substrate into a substrate processing region, wherein the patterned substrate comprises regions of Si(1-X)GeX having nonzero X. The methods further include flowing ammonia (NH3) and nitrogen trifluoride (NF3) into a remote plasma region fluidly coupled to a substrate processing region via through-holes in a showerhead. The methods further include forming a remote plasma in the remote plasma region to produce plasma effluents from the nitrogen trifluoride.


Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the disclosed embodiments. The features and advantages of the disclosed embodiments may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.





DESCRIPTION OF THE DRAWINGS

A further understanding of the nature and advantages of the disclosed embodiments may be realized by reference to the remaining portions of the specification and the drawings.



FIG. 1 is a flow chart of a silicon selective etch process according to embodiments.



FIG. 2 is a flow chart of a crystallographic silicon etch process according to embodiments.



FIG. 3A shows a substrate processing chamber according to embodiments.



FIG. 3B shows a showerhead of a substrate processing chamber according to embodiments.



FIG. 4 shows a substrate processing system according to embodiments.





In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.


DETAILED DESCRIPTION

Methods of selectively etching silicon relative to silicon germanium are described. The methods include a remote plasma etch using plasma effluents formed from a fluorine-containing precursor and a hydrogen-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the silicon. The plasmas effluents react with exposed surfaces and selectively remove silicon while very slowly removing other exposed materials. The methods are useful for removing Si(1-X)GeX faster than Si(1-Y)GeY, for X<Y. In some embodiments, the silicon germanium etch selectivity results partly from the presence of an ion suppression element positioned between the remote plasma and the substrate processing region.


In order to better understand and appreciate the invention, reference is now made to FIG. 1 which is a flow chart of a silicon selective etch process 100 according to embodiments. Prior to the first operation, silicon is formed on a substrate. The silicon is present on the surface of the substrate prior to the first operation of silicon selective etch process 100 and may be in the form of exposed discrete portions of a patterned substrate surface. The silicon may be single crystal silicon. The substrate is delivered into a processing region (operation 110).


A flow of nitrogen trifluoride is introduced into a plasma region separate from the processing region (operation 120). Other sources of fluorine may be used to augment or replace the nitrogen trifluoride. In general, a fluorine-containing precursor may be flowed into the plasma region and the fluorine-containing precursor includes at least one precursor selected from the group consisting of a fluorocarbon, atomic fluorine, diatomic fluorine, an interhalogen fluoride (e.g. bromine trifluoride, chlorine trifluoride), nitrogen trifluoride, hydrogen fluoride, sulfur hexafluoride and xenon difluoride. The fluorine-containing precursor may be devoid of hydrogen. Ammonia (NH3) is also flowed into the plasma region (operation 125) where it is simultaneously excited in a plasma along with the nitrogen trifluoride. In general, a hydrogen-containing precursor may be flowed into the remote plasma region and the hydrogen-containing precursor comprises one or more of ammonia, hydrogen (H2), or a hydrocarbon. In a preferred embodiment, ammonia is used as the source of hydrogen to facilitate the breaking of bonds and formation of neutral radical-hydrogen species (H*). The flow rate of the hydrogen-containing precursor may be greater than the flow rate of the fluorine-containing precursor by a multiplicative factor of two, in embodiments, to increase the etch selectivity of silicon. Specific value ranges for the flow rates will be discussed shortly.


The separate plasma region may be referred to as a remote plasma region for all etch processes described herein and may be within a distinct module from the processing chamber or a compartment within the processing chamber. The separate plasma region may is fluidly coupled to the substrate processing region by through-holes in a showerhead disposed between the two regions. The hardware just described may also be used in all processes discussed herein. The remote plasma region may be a capacitively-coupled plasma region, in embodiments, and may be disposed remote from the substrate processing region of the processing chamber. For example, the capacitively-coupled plasma region (and the remote plasma region in general) may be separated from the substrate processing region by the showerhead.


The plasma effluents formed in the remote plasma region are then flowed into the substrate processing region (operation 130). Silicon on the substrate is selectively etched (operation 135) such that silicon may be removed more rapidly than other exposed materials. The selective etch in all examples disclosed herein may etch silicon significantly faster than silicon germanium of a variety of stoichiometries in embodiments. Etch process 100 may involve maintenance of an atomic flow ratio of hydrogen (H) to fluorine (F) in order achieve high etch selectivity of silicon. The atomic flow ratio (H:F) may be greater than 2:1 or, more preferably greater than 4:1 according to embodiments. Silicon selective etch process 100 is highly selective of silicon over silicon germanium as long as the hydrogen-containing precursor to fluorine-containing precursor flow rate ratio is maintained as outlined herein. The reactive chemical species and any process effluents are removed from the substrate processing region and then the substrate is removed from the processing region (operation 145).


The plasma effluents react with the silicon to selectively remove the silicon. The plasma effluents are thought to contain neutral fluorine radicals (denoted F*) as well as neutral hydrogen radicals (H*) and the combination may preferentially react with portions of exposed silicon relative to portions of exposed silicon germanium for example. The concurrent flow of neutral hydrogen radicals is conjectured to preferentially remove adsorbed fluorine from silicon germanium relative to the adsorbed fluorine on the silicon. This enables the fluorine to continue etching the silicon but impedes the etching action on the silicon germanium according to embodiments.


The patterned substrate further includes one or more exposed portions of silicon germanium. The etch selectivity (e.g. etch silicon:silicon germanium) of all processes taught herein may be greater than or about 10:1, greater than or about 20:1, greater than or about 50:1, or greater than or about 100:1 according to embodiments. These high etch selectivities are achievable because the neutral hydrogen preferentially interferes with the etching action of the neutral fluorine radicals on the exposed silicon germanium portions.


In each remote plasma described herein, the flows of the precursors into the remote plasma region may further include one or more relatively inert gases such as He, N2, Ar. The inert gas can be used to improve plasma stability, ease plasma initiation, and improve process uniformity. Argon is helpful, as an additive, to promote the formation of a stable plasma. Process uniformity is generally increased when helium is included. These additives are present in embodiments throughout this specification. Flow rates and ratios of the different gases may be used to control etch rates and etch selectivity.


A presence of oxygen may damage the exposed front end regions of material whose integrity is needed to form working devices for all etch processes described herein by oxidizing the silicon, germanium and/or silicon germanium domains. As such, the flow precursors into the remote plasma region may be essentially devoid of oxygen (e.g. no O2) according to embodiments. Similarly, the remote plasma region and the substrate processing region may be essentially devoid of oxygen during the etching operations described herein.


In embodiments, the fluorine-containing precursor (e.g. NF3) is supplied at a flow rate of between about 5 sccm (standard cubic centimeters per minute) and 500 sccm, NH3 at a flow rate of between about 20 sccm and 2,000 sccm, He at a flow rate of between about 0.1 slm (standard liters per minute) and 10 slm, and Ar at a flow rate of between about 0.1 slm and 3 slm. Generally speaking, the fluorine-containing precursor may be supplied at a flow rate between about 5 sccm and about 500 sccm, between about 10 sccm and about 300 sccm, preferably between about 25 sccm and about 250 sccm or more preferably between about 100 sccm and about 200 sccm according to embodiments. The hydrogen-containing precursor (e.g. ammonia) may be supplied at a flow rate between about 20 sccm and about 2,000 sccm, between about 30 sccm and about 1,500 sccm, preferably between about 50 sccm and about 1,200 sccm or more preferably between about 200 sccm and about 1,000 sccm in embodiments.


Silicon selective etch process 100 (and the other etch processes described herein) includes applying energy to the fluorine-containing precursor and the hydrogen-containing precursor while they flow through the remote plasma region to generate the plasma effluents. The plasma may be generated using known techniques (e.g., radio frequency excitations, capacitively-coupled power, and inductively-coupled power). In an embodiment, the energy is applied using a capacitively-coupled plasma unit. The remote plasma source power may be between about 10 watts and about 5,000 watts, between about 30 watts and about 7,500 watts, between about 100 watts and about 5,000 watts, or between about 300 watts and about 3,000 watts according to embodiments. The selectivity is increased by increasing remote plasma power. In preferred embodiments, the remote plasma source power may be greater than 500 watts or greater than 1000 watts according to embodiments.


The pressure in the substrate processing region is about the same as the pressure in the substrate processing region, according to embodiments, in all silicon selective etch processes described herein. The pressure in the remote plasma region and also in the substrate processing region is between about 0.1 Torr and about 50 Torr, between about 0.5 Torr and about 20 Torr, preferably between about 1 Torr and about 10 Torr or more preferably between about 2.5 Torr and about 5 Torr in embodiments.


The temperature of the substrate during etch processes described herein may be between about 30° C. and about 300° C. in general. In embodiments, the temperature of the patterned substrate during the selective silicon germanium etches described herein may be between about 30° C. and about 300° C., between about 50° C. and about 260° C., preferably between about 120° C. and about 200° C., and more preferably between about 140° C. and about 190° C. The etch selectivity drops off at the high and low ends of these embodiments.


In order to appreciate another aspect of the invention, reference is now made to FIG. 2 which is a flow chart of a silicon etch process 200 according to embodiments. The various traits and process parameters discussed with reference to FIG. 1 may not be repeated here except when deviations of specific traits and process parameters were observed. Prior to the first operation, exposed silicon is formed or already present on a substrate. The substrate is then delivered into a processing region (operation 210).


Flows of a fluorine-containing precursor and a hydrogen-containing precursor are introduced into the remote plasma region (operations 220 and 225 respectively). The fluorine-containing precursor may include one or more of a fluorocarbon, atomic fluorine, diatomic fluorine, an interhalogen fluoride (e.g. bromine trifluoride, chlorine trifluoride), nitrogen trifluoride, hydrogen fluoride, sulfur hexafluoride and xenon difluoride. The hydrogen-containing precursor may include one or more of ammonia, hydrogen (H2), or a hydrocarbon. Ammonia is used as the hydrogen-containing precursor, in a preferred embodiment, to facilitate the formation of neutral radical-hydrogen species. The flow rate of the hydrogen-containing precursor may be greater than the flow rate of the fluorine-containing precursor by a multiplicative factor of two, in embodiments, to increase the etch selectivity of silicon.


The plasma effluents are formed in the remote plasma region and flowed into the substrate processing region (operation 230). Silicon on the substrate is etched (operation 235) such that specific crystallographic planes (namely Si(111)) are formed on the surface. The surface of the substrate may be coplanar with the Si(100) crystal plane, in which case pits may be etched into the substrate. The pits may be in the shape of pyramids. Alternatively, or in combination, raised pyramids may be formed on the surface of the substrate. The pits and/or bumps formed in this manner may each display Si(111) facets. This may occur because the Si(111) facet of silicon etches considerably more slowly, e.g. over 10×, 20× or 30× more slowly than the Si(100) facet in embodiments. The silicon etch 200 may be referred to as a crystallographic etch herein. Following removal of silicon, the reactive chemical species and any process effluents are removed from the substrate processing region and then the substrate is removed from the processing region (operation 245).


Crystallographic etch process 200 may involve maintenance of an atomic flow ratio of hydrogen (H) to fluorine (F) in order achieve high etch selectivity of silicon. The atomic flow ratio (H:F) may be greater than 2:1 or, more preferably greater than 4:1 according to embodiments. Flow rates of the fluorine-containing precursor (e.g. NF3) and the hydrogen-containing precursor (e.g. NH3) are the same as those provided earlier according to embodiments. Similarly, the flow rates of helium and argon may fall within the embodiments provided during the discussion of FIG. 1. The remote plasma power, pressures in the remote plasma region and substrate processing region, and the substrate temperatures during crystallographic etch process 200 may also be within the ranges provided with reference to FIG. 1.


Generally speaking, Si(1-X)GeX may be etched faster than Si(1-Y)GeY for all X<Y. Si(1-X) GeX may etch at a first etch rate whereas Si(1-Y)GeY may etch at a second etch rate. The first etch rate may be greater than the second etch rate according to embodiments. The first etch rate may exceed the second etch rate by a multiplicative factor of ten, twenty, fifty or one hundred in embodiments. Y may exceed X by two tenths, three tenths, four tenths, one half or seven tenths according to embodiments. The example in FIG. 1 includes selectively etching Si(1-X)GeX relative to Si(1-Y)GeY with X=1 and 1≧X>0. The example of FIG. 2 includes crystallographically etching Si(1-X)GeX with X between 0 and 1, inclusive.


In embodiments, an ion suppressor as described in the exemplary equipment section may be used to provide radical and/or neutral species for selectively etching substrates. The ion suppressor may also be referred to as an ion suppression element. In embodiments, for example, the ion suppressor is used to filter fluorine and hydrogen containing plasma effluents to selectively etch silicon. The ion suppressor may be included in each exemplary process described herein. Using the plasma effluents, an etch rate selectivity of silicon to a wide variety of materials may be achieved.


The ion suppressor may be used to provide a reactive gas having a higher concentration of radicals than ions. The ion suppressor functions to dramatically reduce or substantially eliminate ionically charged species traveling from the plasma generation region to the substrate. The electron temperature may be measured using a Langmuir probe in the substrate processing region during excitation of a plasma in the remote plasma region on the other side of the ion suppressor. In embodiments, the electron temperature may be less than 0.5 eV, less than 0.45 eV, less than 0.4 eV, or preferably less than 0.35 eV. These extremely low values for the electron temperature are enabled by the presence of the showerhead and/or the ion suppressor positioned between the substrate processing region and the remote plasma region. Uncharged neutral and radical species may pass through the openings in the ion suppressor to react at the substrate. Because most of the charged particles of a plasma are filtered or removed by the ion suppressor, the substrate is not necessarily biased during the etch process. Such a process using radicals and other neutral species can reduce plasma damage compared to conventional plasma etch processes that include sputtering and bombardment. The ion suppressor helps control the concentration of ionic species in the reaction region at a level that assists the process. Embodiments of the present invention are also advantageous over conventional wet etch processes where surface tension of liquids can cause bending and peeling of small features.


Generally speaking, the processes described herein may be used to retain films which contain silicon and germanium (and not just any specific example of stoichiometric silicon germanium) relative to silicon. The silicon selective etch processes may retain exposed silicon germanium which includes an atomic concentration of about 28% or more silicon and about 70% or more germanium according to embodiments. The silicon germanium may consist only of silicon and germanium, allowing for small dopant concentrations and other undesirable or desirable minority additives. Silicon germanium may have an atomic silicon percentage greater than 28%, 35%, 45%, 55% or 65% in embodiments. For example, the atomic silicon percentage may be between about 28% and about 70%. The balance of the silicon germanium may be germanium. Silicon germanium may have an atomic germanium percentage greater than 28%, 35%, 45%, 55% or 65% in embodiments. For example, the atomic germanium percentage may be between about 28% and about 70%. In these cases, the balance may be silicon according to embodiments.


Additional process parameters are disclosed in the course of describing an exemplary processing chamber and system.


Exemplary Processing System


Processing chambers that may implement embodiments of the present invention may be included within processing platforms such as the CENTURA® and PRODUCER® systems, available from Applied Materials, Inc. of Santa Clara, Calif.



FIG. 3A is a substrate processing chamber 1001 according to embodiments. A remote plasma system 1010 may process a fluorine-containing precursor and/or a hydrogen-containing precursor which then travels through a gas inlet assembly 1011. Two distinct gas supply channels are visible within the gas inlet assembly 1011. A first channel 1012 carries a gas that passes through the remote plasma system 1010 (RPS), while a second channel 1013 bypasses the remote plasma system 1010. Either channel may be used for the fluorine-containing precursor, in embodiments. On the other hand, the first channel 1012 may be used for the process gas and the second channel 1013 may be used for a treatment gas. The lid (or conductive top portion) 1021 and a perforated partition 1053 are shown with an insulating ring 1024 in between, which allows an AC potential to be applied to the lid 1021 relative to perforated partition 1053. The AC potential strikes a plasma in chamber plasma region 1020. The process gas may travel through first channel 1012 into chamber plasma region 1020 and may be excited by a plasma in chamber plasma region 1020 alone or in combination with remote plasma system 1010. If the process gas (e.g. the fluorine-containing precursor) flows through second channel 1013, then only the chamber plasma region 1020 is used for excitation. The combination of chamber plasma region 1020 and/or remote plasma system 1010 may be referred to as a remote plasma system herein. The perforated partition (also referred to as a showerhead) 1053 separates chamber plasma region 1020 from a substrate processing region 1070 beneath showerhead 1053. Showerhead 1053 allows a plasma present in chamber plasma region 1020 to avoid directly exciting gases in substrate processing region 1070, while still allowing excited species to travel from chamber plasma region 1020 into substrate processing region 1070.


Showerhead 1053 is positioned between chamber plasma region 1020 and substrate processing region 1070 and allows plasma effluents (excited derivatives of precursors or other gases) created within remote plasma system 1010 and/or chamber plasma region 1020 to pass through a plurality of through-holes 1056 that traverse the thickness of the plate. The showerhead 1053 also has one or more hollow volumes 1051 which can be filled with a precursor in the form of a vapor or gas (such as a fluorine-containing precursor) and pass through small holes 1055 into substrate processing region 1070 but not directly into chamber plasma region 1020. Showerhead 1053 is thicker than the length of the smallest diameter 1050 of the through-holes 1056 in this embodiment. The length 1026 of the smallest diameter 1050 of the through-holes may be restricted by forming larger diameter portions of through-holes 1056 part way through the showerhead 1053 to maintain a significant concentration of excited species penetrating from chamber plasma region 1020 to substrate processing region 1070. The length of the smallest diameter 1050 of the through-holes 1056 may be the same order of magnitude as the smallest diameter of the through-holes 1056 or less in embodiments.


Showerhead 1053 may be configured to serve the purpose of an ion suppressor as shown in FIG. 3A. Alternatively, a separate processing chamber element may be included (not shown) which suppresses the ion concentration traveling into substrate processing region 1070. Lid 1021 and showerhead 1053 may function as a first electrode and second electrode, respectively, so that lid 1021 and showerhead 1053 may receive different electric voltages. In these configurations, electrical power (e.g., RF power) may be applied to lid 1021, showerhead 1053, or both. For example, electrical power may be applied to lid 1021 while showerhead 1053 (serving as ion suppressor) is grounded. The substrate processing system may include a RF generator that provides electrical power to the lid and/or showerhead 1053. The voltage applied to lid 1021 may facilitate a uniform distribution of plasma (i.e., reduce localized plasma) within chamber plasma region 1020. To enable the formation of a plasma in chamber plasma region 1020, insulating ring 1024 may electrically insulate lid 1021 from showerhead 1053. Insulating ring 1024 may be made from a ceramic and may have a high breakdown voltage to avoid sparking. Portions of substrate processing chamber 1001 near the capacitively-coupled plasma components just described may further include a cooling unit (not shown) that includes one or more cooling fluid channels to cool surfaces exposed to the plasma with a circulating coolant (e.g., water).


In the embodiment shown, showerhead 1053 may distribute (via through-holes 1056) process gases which contain fluorine, hydrogen and/or plasma effluents of such process gases upon excitation by a plasma in chamber plasma region 1020. In embodiments, the process gas introduced into the remote plasma system 1010 and/or chamber plasma region 1020 may contain fluorine (e.g. F2, NF3 or XeF2). The process gas may also include a carrier gas such as helium, argon, nitrogen (N2), etc. Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as radical-fluorine and/or radical-hydrogen referring to the atomic constituent of the process gas introduced.


Through-holes 1056 are configured to suppress the migration of ionically-charged species out of the chamber plasma region 1020 while allowing uncharged neutral or radical species to pass through showerhead 1053 into substrate processing region 1070. These uncharged species may include highly reactive species that are transported with less-reactive carrier gas by through-holes 1056. As noted above, the migration of ionic species by through-holes 1056 may be reduced, and in some instances completely suppressed. Controlling the amount of ionic species passing through showerhead 1053 provides increased control over the gas mixture brought into contact with the underlying wafer substrate, which in turn increases control of the deposition and/or etch characteristics of the gas mixture. For example, adjustments in the ion concentration of the gas mixture can alter the etch selectivity (e.g., the silicon:silicon germanium etch ratio).


In embodiments, the number of through-holes 1056 may be between about 60 and about 2000. Through-holes 1056 may have a variety of shapes but are most easily made round. The smallest diameter 1050 of through-holes 1056 may be between about 0.5 mm and about 20 mm or between about 1 mm and about 6 mm in embodiments. There is also latitude in choosing the cross-sectional shape of through-holes, which may be made conical, cylindrical or combinations of the two shapes. The number of small holes 1055 used to introduce unexcited precursors into substrate processing region 1070 may be between about 100 and about 5000 or between about 500 and about 2000 in different embodiments. The diameter of the small holes 1055 may be between about 0.1 mm and about 2 mm.


Through-holes 1056 may be configured to control the passage of the plasma-activated gas (i.e., the ionic, radical, and/or neutral species) through showerhead 1053. For example, the aspect ratio of the holes (i.e., the hole diameter to length) and/or the geometry of the holes may be controlled so that the flow of ionically-charged species in the activated gas passing through showerhead 1053 is reduced. Through-holes 1056 in showerhead 1053 may include a tapered portion that faces chamber plasma region 1020, and a cylindrical portion that faces substrate processing region 1070. The cylindrical portion may be proportioned and dimensioned to control the flow of ionic species passing into substrate processing region 1070. An adjustable electrical bias may also be applied to showerhead 1053 as an additional means to control the flow of ionic species through showerhead 1053.


Alternatively, through-holes 1056 may have a smaller inner diameter (ID) toward the top surface of showerhead 1053 and a larger ID toward the bottom surface. In addition, the bottom edge of through-holes 1056 may be chamfered to help evenly distribute the plasma effluents in substrate processing region 1070 as the plasma effluents exit the showerhead and promote even distribution of the plasma effluents and precursor gases. The smaller ID may be placed at a variety of locations along through-holes 1056 and still allow showerhead 1053 to reduce the ion density within substrate processing region 1070. The reduction in ion density results from an increase in the number of collisions with walls prior to entry into substrate processing region 1070. Each collision increases the probability that an ion is neutralized by the acquisition or loss of an electron from the wall. Generally speaking, the smaller ID of through-holes 1056 may be between about 0.2 mm and about 20 mm. In other embodiments, the smaller ID may be between about 1 mm and 6 mm or between about 0.2 mm and about 5 mm. Further, aspect ratios of the through-holes 1056 (i.e., the smaller ID to hole length) may be approximately 1 to 20. The smaller ID of the through-holes may be the minimum ID found along the length of the through-holes. The cross sectional shape of through-holes 1056 may be generally cylindrical, conical, or any combination thereof.



FIG. 3B is a bottom view of a showerhead 1053 for use with a processing chamber according to embodiments. Showerhead 1053 corresponds with the showerhead shown in FIG. 3A. Through-holes 1056 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 1053 and a smaller ID at the top. Small holes 1055 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 1056 which helps to provide more even mixing than other embodiments described herein.


An exemplary patterned substrate may be supported by a pedestal (not shown) within substrate processing region 1070 when fluorine-containing plasma effluents and hydrogen-containing plasma effluents arrive through through-holes 1056 in showerhead 1053. Though substrate processing region 1070 may be equipped to support a plasma for other processes such as curing, no plasma is present during the etching of patterned substrate according to embodiments.


A plasma may be ignited either in chamber plasma region 1020 above showerhead 1053 or substrate processing region 1070 below showerhead 1053. A plasma is present in chamber plasma region 1020 to produce the radical-fluorine from an inflow of the fluorine-containing precursor. An AC voltage typically in the radio frequency (RF) range is applied between the conductive top portion (lid 1021) of the processing chamber and showerhead 1053 to ignite a plasma in chamber plasma region 1020 during deposition. An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.


The top plasma may be left at low or no power when the bottom plasma in the substrate processing region 1070 is turned on to either cure a film or clean the interior surfaces bordering substrate processing region 1070. A plasma in substrate processing region 1070 is ignited by applying an AC voltage between showerhead 1053 and the pedestal or bottom of the chamber. A cleaning gas may be introduced into substrate processing region 1070 while the plasma is present.


The pedestal may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration allows the substrate temperature to be cooled or heated to maintain relatively low temperatures (from room temperature through about 120° C.). The heat exchange fluid may comprise ethylene glycol and water. The wafer support platter of the pedestal (preferably aluminum, ceramic, or a combination thereof) may also be resistively heated to achieve relatively high temperatures (from about 120° C. through about 1100° C.) using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the stem of the pedestal.


The chamber plasma region or a region in a remote plasma system may be referred to as a remote plasma region. In embodiments, the radical precursors (e.g. radical-fluorine and/or radical-hydrogen) are formed in the remote plasma region and travel into the substrate processing region where the combination preferentially etches silicon. Plasma power may essentially be applied only to the remote plasma region, in embodiments, to ensure that the radical-fluorine and/or the radical-hydrogen (which together may be referred to as plasma effluents) are not further excited in the substrate processing region.


In embodiments employing a chamber plasma region, the excited plasma effluents are generated in a section of the substrate processing chamber partitioned from the substrate processing region. The substrate processing region, is where the plasma effluents mix and react to etch the patterned substrate (e.g., a semiconductor wafer). The excited plasma effluents may also be accompanied by inert gases (in the exemplary case, argon). The substrate processing region may be described herein as “plasma-free” during etching of the substrate. “Plasma-free” does not necessarily mean the region is devoid of plasma. A relatively low concentration of ionized species and free electrons created within the plasma region do travel through pores (apertures) in the partition (showerhead/ion suppressor) due to the shapes and sizes of through-holes 1056. In some embodiments, there is essentially no concentration of ionized species and free electrons within the substrate processing region. The borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead. In the case of an inductively-coupled plasma, a small amount of ionization may be effected within the substrate processing region directly. Furthermore, a low intensity plasma may be created in the substrate processing region without eliminating desirable features of the forming film. All causes for a plasma having much lower intensity ion density than the chamber plasma region (or a remote plasma region, for that matter) during the creation of the excited plasma effluents do not deviate from the scope of “plasma-free” as used herein.


The fluorine-containing precursor may be supplied at a flow rate between about 5 sccm and about 500 sccm, between about 10 sccm and about 300 sccm, preferably between about 25 sccm and about 250 sccm or more preferably between about 100 sccm and about 200 sccm according to embodiments. The hydrogen-containing precursor (e.g. ammonia) may be supplied at a flow rate between about 20 sccm and about 2,000 sccm, between about 30 sccm and about 1,500 sccm, preferably between about 50 sccm and about 1,200 sccm or more preferably between about 200 sccm and about 1,000 sccm in embodiments


Combined flow rates of fluorine-containing precursor and hydrogen-containing precursor into the chamber may account for 0.05% to about 20% by volume of the overall gas mixture; the remainder being carrier gases. The fluorine-containing precursor and the hydrogen-containing precursor are flowed into the remote plasma region but the plasma effluents have the same volumetric flow ratio, in embodiments. In the case of the fluorine-containing precursor, a purge or carrier gas may be first initiated into the remote plasma region before those of the fluorine-containing gas to stabilize the pressure within the remote plasma region.


Plasma power applied to the remote plasma region can be a variety of frequencies or a combination of multiple frequencies. In the exemplary processing system the plasma is provided by RF power delivered between lid 1021 and showerhead 1053. In an embodiment, the energy is applied using a capacitively-coupled plasma unit. When using a Frontier™ or similar system, the remote plasma source power may be between about 100 watts and about 3000 watts, between about 200 watts and about 2500 watts, between about 300 watts and about 2000 watts, or between about 500 watts and about 1500 watts in embodiments. The RF frequency applied in the exemplary processing system may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz or microwave frequencies greater than or about 1 GHz according to embodiments.


Substrate processing region 1070 can be maintained at a variety of pressures during the flow of carrier gases and plasma effluents into substrate processing region 1070. The pressure within the substrate processing region is below or about 50 Torr, below or about 30 Torr, below or about 20 Torr, below or about 10 Torr or below or about 5 Torr in embodiments. The pressure may be above or about 0.1 Torr, above or about 0.2 Torr, above or about 0.5 Torr or above or about 1 Torr according to embodiments. Lower limits on the pressure may be combined with upper limits on the pressure in embodiments.


In one or more embodiments, the substrate processing chamber 1001 can be integrated into a variety of multi-processing platforms, including the Producer™ GT, Centura™ AP and Endura™ platforms available from Applied Materials, Inc. located in Santa Clara, Calif. Such a processing platform is capable of performing several processing operations without breaking vacuum. Processing chambers that may implement embodiments of the present invention may include dielectric etch chambers or a variety of chemical vapor deposition chambers, among other types of chambers.


Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 4 shows one such system 1101 of deposition, baking and curing chambers according to embodiments. In the figure, a pair of FOUPs (front opening unified pods) 1102 supply substrate substrates (e.g., 300 mm diameter wafers) that are received by robotic arms 1104 and placed into a low pressure holding areas 1106 before being placed into one of the wafer processing chambers 1108a-f. A second robotic arm 1110 may be used to transport the substrate wafers from the low pressure holding areas 1106 to the wafer processing chambers 1108a-f and back. Each wafer processing chamber 1108a-f, can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes.


The wafer processing chambers 1108a-f may include one or more system components for depositing, annealing, curing and/or etching a flowable dielectric film on the substrate wafer. In one configuration, two pairs of the processing chamber (e.g., 1108c-d and 1108e-f) may be used to deposit dielectric material on the substrate, and the third pair of processing chambers (e.g., 1108a-b) may be used to etch the deposited dielectric. In another configuration, all three pairs of chambers (e.g., 1108a-f) may be configured to etch a dielectric film on the substrate. Any one or more of the processes described may be carried out on chamber(s) separated from the fabrication system shown in embodiments.


The substrate processing system is controlled by a system controller. In an exemplary embodiment, the system controller includes a hard disk drive, a floppy disk drive and a processor. The processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD system conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.


System controller 1157 is used to control motors, valves, flow controllers, power supplies and other functions required to carry out process recipes described herein. A gas handling system 1155 may also be controlled by system controller 1157 to introduce gases to one or all of the wafer processing chambers 1108a-f. System controller 1157 may rely on feedback from optical sensors to determine and adjust the position of movable mechanical assemblies in gas handling system 1155 and/or in wafer processing chambers 1108a-f. Mechanical assemblies may include the robot, throttle valves and susceptors which are moved by motors under the control of system controller 1157.


In an exemplary embodiment, system controller 1157 includes a hard disk drive (memory), USB ports, a floppy disk drive and a processor. System controller 1157 includes analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of multi-chamber processing system 1101 which contains substrate processing chamber 1001 are controlled by system controller 1157. The system controller executes system control software in the form of a computer program stored on computer-readable medium such as a hard disk, a floppy disk or a flash memory thumb drive. Other types of memory can also be used. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process.


A process for etching, depositing or otherwise processing a film on a substrate or a process for cleaning chamber can be implemented using a computer program product that is executed by the controller. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.


The interface between a user and the controller may be via a touch-sensitive monitor and may also include a mouse and keyboard. In one embodiment two monitors are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. The two monitors may simultaneously display the same information, in which case only one is configured to accept input at a time. To select a particular screen or function, the operator touches a designated area on the display screen with a finger or the mouse. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming the operator's selection.


As used herein “substrate” may be a support substrate with or without layers formed thereon. The patterned substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. Exposed “silicon germanium” of the patterned substrate is predominantly silicon and germanium but may include minority concentrations of other elemental constituents (e.g. oxygen, hydrogen, carbon). Exposed “silicon” of the patterned substrate may be predominantly but may include minority concentrations of other elemental constituents (e.g. nitrogen, hydrogen, carbon). In some embodiments, silicon films etched using the methods described herein consist essentially of silicon.


The term “precursor” is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. “Plasma effluents” describe gas exiting from the chamber plasma region and entering the substrate processing region. Plasma effluents are in an “excited state” wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states. A “radical precursor” is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface. “Radical-fluorine” (or “radical-hydrogen”) are radical precursors which contain fluorine (or hydrogen) but may contain other elemental constituents. The phrase “inert gas” refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.


The terms “gap” and “trench” are used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes. A trench may be in the shape of a moat around an island of material. The term “via” is used to refer to a low aspect ratio trench (as viewed from above) which may or may not be filled with metal to form a vertical electrical connection. As used herein, a conformal etch process refers to a generally uniform removal of material on a surface in the same shape as the surface, i.e., the surface of the etched layer and the pre-etch surface are generally parallel. A person having ordinary skill in the art will recognize that the etched interface likely cannot be 100% conformal and thus the term “generally” allows for acceptable tolerances.


Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well-known processes and elements have not been described to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.


Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.


As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the dielectric material” includes reference to one or more dielectric materials and equivalents thereof known to those skilled in the art, and so forth.


Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims
  • 1. A method of etching silicon, the method comprising: flowing a fluorine-containing precursor and an hydrogen-containing precursor into a remote plasma region fluidly coupled to a substrate processing region via through-holes in a showerhead;forming a remote plasma in the remote plasma region to produce plasma effluents from the fluorine-containing precursor and the hydrogen-containing precursor; andetching the silicon from a substrate disposed within the substrate processing region by flowing the plasma effluents into the substrate processing region through the through-holes in the showerhead, wherein the substrate is a patterned substrate which further comprises an exposed region of silicon germanium and the silicon is etched faster than the exposed region of silicon germanium.
  • 2. The method of claim 1 wherein a flow rate of the hydrogen-containing precursor is greater than twice the flow rate of the fluorine-containing precursor.
  • 3. The method of claim 1 wherein an atomic percentage of germanium in the silicon germanium is between about 28% and 70%.
  • 4. The method of claim 1 wherein a temperature of the substrate is greater than or about 100° C. and less than or about 220° C. during the etching operation.
CROSS-REFERENCES TO RELATED APPLICATIONS

This application claims the benefit of U.S. Prov. Pat. App. No. 61/899,798 by Korolik et al., filed Nov. 4, 2013, and titled “ETCH SUPPRESSION WITH GERMANIUM,” which is hereby incorporated herein by reference for all purposes.

US Referenced Citations (1403)
Number Name Date Kind
2369620 Sullivan et al. Feb 1945 A
3451840 Hough Jun 1969 A
3537474 Rohrer Nov 1970 A
3756511 Shinroku Sep 1973 A
3937857 Brummett et al. Feb 1976 A
3969077 Hill Jul 1976 A
4006047 Brummett et al. Feb 1977 A
4209357 Gorin et al. Jun 1980 A
4214946 Forget et al. Jul 1980 A
4232060 Mallory, Jr. Nov 1980 A
4234628 DuRose Nov 1980 A
4265943 Goldstein et al. May 1981 A
4340462 Koch Jul 1982 A
4341592 Shortes et al. Jul 1982 A
4361418 Tscheppe Nov 1982 A
4361441 Tylko Nov 1982 A
4364803 Nidola et al. Dec 1982 A
4368223 Kobayashi et al. Jan 1983 A
4374698 Sanders et al. Feb 1983 A
4397812 Mallory, Jr. Aug 1983 A
4468413 Bachmann Aug 1984 A
4565601 Kakehi et al. Jan 1986 A
4571819 Rogers et al. Feb 1986 A
4579618 Celestino et al. Apr 1986 A
4585920 Hoog et al. Apr 1986 A
4610775 Phifer Sep 1986 A
4625678 Shloya et al. Dec 1986 A
4632857 Mallory, Jr. Dec 1986 A
4656052 Satou et al. Apr 1987 A
4656076 Vetanen et al. Apr 1987 A
4690746 McInerney et al. Sep 1987 A
4714520 Gwozdz Dec 1987 A
4715937 Moslehi et al. Dec 1987 A
4749440 Blackwood et al. Jun 1988 A
4753898 Parrillo et al. Jun 1988 A
4786360 Cote et al. Nov 1988 A
4793897 Dunfield et al. Dec 1988 A
4807016 Douglas Feb 1989 A
4810520 Wu Mar 1989 A
4816638 Ukai et al. Mar 1989 A
4820377 Davis et al. Apr 1989 A
4828649 Davis May 1989 A
4838990 Jucha et al. Jun 1989 A
4851370 Doklan et al. Jul 1989 A
4857140 Loewenstein Aug 1989 A
4865685 Palmour Sep 1989 A
4868071 Walsh et al. Sep 1989 A
4872947 Wang et al. Oct 1989 A
4878994 Jucha et al. Nov 1989 A
4886570 Davis et al. Dec 1989 A
4892753 Wang et al. Jan 1990 A
4894352 Lane et al. Jan 1990 A
4904341 Blaugher et al. Feb 1990 A
4904621 Lowenstein et al. Feb 1990 A
4913929 Moslehi et al. Apr 1990 A
4946903 Gardella et al. Aug 1990 A
4951601 Maydan et al. Aug 1990 A
4960488 Law et al. Oct 1990 A
4980018 Mu et al. Dec 1990 A
4981551 Palmour Jan 1991 A
4985372 Narita et al. Jan 1991 A
4991542 Kohmura et al. Feb 1991 A
4992136 Tachi et al. Feb 1991 A
4994404 Sheng et al. Feb 1991 A
5000113 Wang et al. Mar 1991 A
5006192 Deguchi Apr 1991 A
5013691 Lory et al. May 1991 A
5028565 Chang Jul 1991 A
5030319 Nishino et al. Jul 1991 A
5038713 Kawakami et al. Aug 1991 A
5045244 Marlett Sep 1991 A
5061838 Lane et al. Oct 1991 A
5083030 Stavov Jan 1992 A
5089441 Moslehi Feb 1992 A
5089442 Olmer Feb 1992 A
5147692 Bengston Sep 1992 A
5156881 Okano et al. Oct 1992 A
5180435 Markunas et al. Jan 1993 A
5186718 Tepman et al. Feb 1993 A
5188706 Hori et al. Feb 1993 A
5198034 deBoer et al. Mar 1993 A
5203911 Sricharoenchalkit et al. Apr 1993 A
5215787 Homma Jun 1993 A
5228501 Tepman et al. Jul 1993 A
5231690 Soma et al. Jul 1993 A
5235139 Bengston et al. Aug 1993 A
5238499 van de Ven et al. Aug 1993 A
5240497 Shacham et al. Aug 1993 A
5248371 Maher et al. Sep 1993 A
5248527 Uchida et al. Sep 1993 A
5252178 Moslehi Oct 1993 A
5266157 Kadomura Nov 1993 A
5269881 Sekiya Dec 1993 A
5270125 America et al. Dec 1993 A
5271972 Kwok et al. Dec 1993 A
5275977 Otsubo et al. Jan 1994 A
5277750 Frank Jan 1994 A
5279669 Lee Jan 1994 A
5279865 Chebi et al. Jan 1994 A
5288518 Homma Feb 1994 A
5290382 Zarowin et al. Mar 1994 A
5292370 Tsai et al. Mar 1994 A
5300463 Cathey et al. Apr 1994 A
5302233 Kim et al. Apr 1994 A
5306530 Strongin et al. Apr 1994 A
5314724 Tsukune et al. May 1994 A
5316804 Tomikawa et al. May 1994 A
5319247 Matsuura Jun 1994 A
5326427 Jerbic Jul 1994 A
5328558 Kawamura et al. Jul 1994 A
5328810 Lowrey et al. Jul 1994 A
5330578 Sakama Jul 1994 A
5334552 Homma Aug 1994 A
5345999 Hosokawa Sep 1994 A
5352636 Beinglass Oct 1994 A
5356478 Chen et al. Oct 1994 A
5362526 Wang et al. Nov 1994 A
5368897 Kurihara et al. Nov 1994 A
5380560 Kaja et al. Jan 1995 A
5382311 Ishikawa et al. Jan 1995 A
5384284 Doan et al. Jan 1995 A
5385763 Okano et al. Jan 1995 A
5399237 Keswick et al. Mar 1995 A
5399529 Homma Mar 1995 A
5403434 Moslehi Apr 1995 A
5413670 Langan et al. May 1995 A
5413967 Matsuda et al. May 1995 A
5415890 Kloiber et al. May 1995 A
5416048 Blalock et al. May 1995 A
5420075 Homma et al. May 1995 A
5429995 Nishiyama et al. Jul 1995 A
5439553 Grant et al. Aug 1995 A
5451259 Krogh Sep 1995 A
5464499 Moslehi Nov 1995 A
5468342 Nulty et al. Nov 1995 A
5474589 Ohga et al. Dec 1995 A
5478403 Shinigawa et al. Dec 1995 A
5478462 Walsh Dec 1995 A
5483920 Pryor Jan 1996 A
5500249 Telford et al. Mar 1996 A
5505816 Barnes et al. Apr 1996 A
5510216 Calabrese et al. Apr 1996 A
5516367 Lei et al. May 1996 A
5518962 Murao May 1996 A
5531835 Fodor et al. Jul 1996 A
5534070 Okamura et al. Jul 1996 A
5536360 Nguyen et al. Jul 1996 A
5549780 Koinuma et al. Aug 1996 A
5558717 Zhao et al. Sep 1996 A
5560779 Knowles et al. Oct 1996 A
5563105 Dobuzinsky et al. Oct 1996 A
5567243 Foster et al. Oct 1996 A
5571576 Qian et al. Nov 1996 A
5578130 Hayashi et al. Nov 1996 A
5578161 Auda Nov 1996 A
5580421 Hiatt et al. Dec 1996 A
5591269 Arami et al. Jan 1997 A
5592358 Shamouilian Jan 1997 A
5599740 Jang et al. Feb 1997 A
5614055 Fairbairn et al. Mar 1997 A
5616518 Foo et al. Apr 1997 A
5624582 Cain Apr 1997 A
5626922 Miyanaga et al. May 1997 A
5628829 Foster et al. May 1997 A
5635086 Warren, Jr. Jun 1997 A
5645645 Zhang et al. Jul 1997 A
5648125 Cane Jul 1997 A
5648175 Russell et al. Jul 1997 A
5656093 Burkhart et al. Aug 1997 A
5661093 Ravi et al. Aug 1997 A
5670066 Barnes et al. Sep 1997 A
5674787 Zhao et al. Oct 1997 A
5676758 Hasgawa et al. Oct 1997 A
5679606 Wang et al. Oct 1997 A
5685946 Fathauer et al. Nov 1997 A
5688331 Aruga et al. Nov 1997 A
5695810 Dubin et al. Dec 1997 A
5712185 Tsai et al. Jan 1998 A
5716500 Bardos et al. Feb 1998 A
5716506 Maclay et al. Feb 1998 A
5719085 Moon et al. Feb 1998 A
5733816 Iyer et al. Mar 1998 A
5747373 Yu May 1998 A
5753886 Iwamura et al. May 1998 A
5755859 Brusic et al. May 1998 A
5756400 Ye et al. May 1998 A
5756402 Jimbo et al. May 1998 A
5772770 Suda et al. Jun 1998 A
5781693 Ballance et al. Jul 1998 A
5786276 Brooks et al. Jul 1998 A
5789300 Fulford Aug 1998 A
5792376 Kanai et al. Aug 1998 A
5800686 Littau et al. Sep 1998 A
5804259 Robles Sep 1998 A
5812403 Fong et al. Sep 1998 A
5814238 Ashby et al. Sep 1998 A
5814365 Mahawill Sep 1998 A
5820723 Benjamin et al. Oct 1998 A
5824599 Schacham-Diamand et al. Oct 1998 A
5830805 Schacham-Diamand et al. Nov 1998 A
5838055 Kleinhenz et al. Nov 1998 A
5843538 Ehrsam et al. Dec 1998 A
5843847 Pu et al. Dec 1998 A
5844195 Fairbairn et al. Dec 1998 A
5846332 Zhao et al. Dec 1998 A
5846373 Pirkle et al. Dec 1998 A
5846375 Gilchrist et al. Dec 1998 A
5846598 Semkow et al. Dec 1998 A
5849639 Molloy et al. Dec 1998 A
5850105 Dawson et al. Dec 1998 A
5855681 Maydan et al. Jan 1999 A
5855685 Tobe et al. Jan 1999 A
5856240 Sinha et al. Jan 1999 A
5858876 Chew Jan 1999 A
5865896 Nowak Feb 1999 A
5866483 Shiau et al. Feb 1999 A
5872052 Iyer Feb 1999 A
5872058 Van Cleemput et al. Feb 1999 A
5882424 Taylor et al. Mar 1999 A
5882786 Nassau et al. Mar 1999 A
5883012 Chiou Mar 1999 A
5885404 Kim et al. Mar 1999 A
5885749 Huggins et al. Mar 1999 A
5888906 Sandhu et al. Mar 1999 A
5891349 Tobe et al. Apr 1999 A
5891513 Dubin et al. Apr 1999 A
5897751 Makowiecki Apr 1999 A
5899752 Hey et al. May 1999 A
5900163 Yi et al. May 1999 A
5904827 Reynolds May 1999 A
5907790 Kellam May 1999 A
5910340 Uchida et al. Jun 1999 A
5913140 Roche et al. Jun 1999 A
5913147 Dubin et al. Jun 1999 A
5915190 Pirkle Jun 1999 A
5918116 Chittipeddi Jun 1999 A
5920792 Lin Jul 1999 A
5926737 Ameen et al. Jul 1999 A
5932077 Reynolds Aug 1999 A
5933757 Yoshikawa et al. Aug 1999 A
5935334 Fong et al. Aug 1999 A
5937323 Orczyk et al. Aug 1999 A
5939831 Fong et al. Aug 1999 A
5942075 Nagahata et al. Aug 1999 A
5944049 Beyer et al. Aug 1999 A
5944902 Redeker et al. Aug 1999 A
5948702 Rotondaro Sep 1999 A
5951601 Lesinski et al. Sep 1999 A
5951776 Selyutin et al. Sep 1999 A
5951896 Mahawill Sep 1999 A
5953591 Ishihara et al. Sep 1999 A
5953635 Andideh Sep 1999 A
5968610 Liu et al. Oct 1999 A
5969422 Ting et al. Oct 1999 A
5976327 Tanaka Nov 1999 A
5990000 Hong et al. Nov 1999 A
5990013 Berenguer et al. Nov 1999 A
5993916 Zhao et al. Nov 1999 A
5997962 Ogasawara et al. Dec 1999 A
6004884 Abraham Dec 1999 A
6007635 Mahawill Dec 1999 A
6007785 Liou Dec 1999 A
6010962 Liu et al. Jan 2000 A
6013191 Nasser-Faili et al. Jan 2000 A
6013584 M'Saad Jan 2000 A
6015724 Yamazaki et al. Jan 2000 A
6015747 Lopatin et al. Jan 2000 A
6017414 Koemtzopoulos et al. Jan 2000 A
6020271 Yanagida Feb 2000 A
6030666 Lam et al. Feb 2000 A
6030881 Papasouliotis et al. Feb 2000 A
6035101 Sajoto et al. Mar 2000 A
6037018 Jang et al. Mar 2000 A
6037266 Tao et al. Mar 2000 A
6039851 Iyer Mar 2000 A
6053982 Halpin et al. Apr 2000 A
6059643 Hu et al. May 2000 A
6063683 Wu et al. May 2000 A
6063712 Gilton et al. May 2000 A
6065424 Shacham-Diamand et al. May 2000 A
6072147 Koshiishi Jun 2000 A
6072227 Yau et al. Jun 2000 A
6074512 Collins et al. Jun 2000 A
6077780 Dubin Jun 2000 A
6080529 Ye et al. Jun 2000 A
6081414 Flanigan et al. Jun 2000 A
6083344 Hanawa et al. Jul 2000 A
6083844 Bui-Le et al. Jul 2000 A
6086677 Umotoy et al. Jul 2000 A
6087278 Kim et al. Jul 2000 A
6090212 Mahawill Jul 2000 A
6093457 Okumura Jul 2000 A
6093594 Yeap et al. Jul 2000 A
6099697 Hausmann Aug 2000 A
6107199 Allen et al. Aug 2000 A
6110530 Chen et al. Aug 2000 A
6110832 Morgan et al. Aug 2000 A
6110836 Cohen et al. Aug 2000 A
6110838 Loewenstein Aug 2000 A
6113771 Landau et al. Sep 2000 A
6117245 Mandrekar et al. Sep 2000 A
6120640 Shih et al. Sep 2000 A
6136163 Cheung et al. Oct 2000 A
6136685 Narwankar et al. Oct 2000 A
6136693 Chan et al. Oct 2000 A
6140234 Uzoh et al. Oct 2000 A
6144099 Lopatin et al. Nov 2000 A
6147009 Grill et al. Nov 2000 A
6149828 Vaartstra Nov 2000 A
6150628 Smith et al. Nov 2000 A
6153935 Edelstein et al. Nov 2000 A
6161576 Maher et al. Dec 2000 A
6165912 McConnell et al. Dec 2000 A
6167834 Wang et al. Jan 2001 B1
6169021 Akram et al. Jan 2001 B1
6170428 Redeker et al. Jan 2001 B1
6171661 Zheng et al. Jan 2001 B1
6174450 Patrick et al. Jan 2001 B1
6174812 Hsuing et al. Jan 2001 B1
6176198 Kao et al. Jan 2001 B1
6176667 Fairbairn Jan 2001 B1
6177245 Ward et al. Jan 2001 B1
6179924 Zhao et al. Jan 2001 B1
6180523 Lee et al. Jan 2001 B1
6182602 Redeker et al. Feb 2001 B1
6184121 Buchwalter et al. Feb 2001 B1
6186091 Chu et al. Feb 2001 B1
6189483 Ishikawa et al. Feb 2001 B1
6190233 Hong et al. Feb 2001 B1
6191026 Rana et al. Feb 2001 B1
6194038 Rossman Feb 2001 B1
6197181 Chen Mar 2001 B1
6197364 Paunovic et al. Mar 2001 B1
6197680 Lin et al. Mar 2001 B1
6197688 Simpson Mar 2001 B1
6197705 Vassiliev Mar 2001 B1
6203863 Liu et al. Mar 2001 B1
6204200 Shieh et al. Mar 2001 B1
6210486 Mizukami et al. Apr 2001 B1
6217658 Orczyk et al. Apr 2001 B1
6220201 Nowak Apr 2001 B1
6228233 Lakshmikanthan et al. May 2001 B1
6228751 Yamazaki et al. May 2001 B1
6228758 Pellerin et al. May 2001 B1
6235643 Mui et al. May 2001 B1
6237527 Kellerman et al. May 2001 B1
6238513 Arnold et al. May 2001 B1
6238582 Williams et al. May 2001 B1
6241845 Gadgil et al. Jun 2001 B1
6242349 Nogami et al. Jun 2001 B1
6244211 Nishikawa et al. Jun 2001 B1
6245396 Nogami Jun 2001 B1
6245670 Cheung et al. Jun 2001 B1
6251236 Stevens Jun 2001 B1
6251802 Moore et al. Jun 2001 B1
6258220 Dordi et al. Jul 2001 B1
6258223 Cheung et al. Jul 2001 B1
6258270 Hilgendorff et al. Jul 2001 B1
6261637 Oberle Jul 2001 B1
6277733 Smith Aug 2001 B1
6277752 Chen Aug 2001 B1
6277763 Kugimiya et al. Aug 2001 B1
6281072 Li et al. Aug 2001 B1
6281135 Han et al. Aug 2001 B1
6284146 Kim et al. Sep 2001 B1
6291282 Wilk et al. Sep 2001 B1
6291348 Lopatin et al. Sep 2001 B1
6303044 Koemtzopoulos Oct 2001 B1
6303418 Cha et al. Oct 2001 B1
6306772 Lin Oct 2001 B1
6312554 Ye Nov 2001 B1
6312995 Yu Nov 2001 B1
6313035 Sandhu et al. Nov 2001 B1
6319387 Krishnamoorthy et al. Nov 2001 B1
6321587 Laush Nov 2001 B1
6322716 Qiao et al. Nov 2001 B1
6323128 Sambucetti et al. Nov 2001 B1
6335261 Natzle et al. Jan 2002 B1
6335288 Kwan et al. Jan 2002 B1
6340435 Bjorkman et al. Jan 2002 B1
6342733 Hu et al. Jan 2002 B1
RE37546 Mahawill Feb 2002 E
6344410 Lopatin et al. Feb 2002 B1
6348407 Gupta et al. Feb 2002 B1
6350320 Sherstinsky et al. Feb 2002 B1
6350697 Richardson Feb 2002 B1
6351013 Luning et al. Feb 2002 B1
6352081 Lu et al. Mar 2002 B1
6355573 Okumura Mar 2002 B1
6364949 Or et al. Apr 2002 B1
6364954 Umotoy et al. Apr 2002 B2
6364957 Schneider et al. Apr 2002 B1
6372657 Hineman et al. Apr 2002 B1
6375748 Yudovsky et al. Apr 2002 B1
6376386 Oshima Apr 2002 B1
6379575 Yin et al. Apr 2002 B1
6383896 Kirimura et al. May 2002 B1
6383951 Li May 2002 B1
6387207 Janakiraman et al. May 2002 B1
6391753 Yu May 2002 B1
6395150 Van Cleemput et al. May 2002 B1
6403491 Liu et al. Jun 2002 B1
6415736 Hao et al. Jul 2002 B1
6416647 Dordi et al. Jul 2002 B1
6416874 McAneney Jul 2002 B1
6423284 Arno Jul 2002 B1
6427623 Ko Aug 2002 B2
6432819 Pavate et al. Aug 2002 B1
6432831 Dhindsa et al. Aug 2002 B2
6436193 Kasai et al. Aug 2002 B1
6436816 Lee et al. Aug 2002 B1
6440863 Tsai et al. Aug 2002 B1
6441492 Cunningham Aug 2002 B1
6446572 Brcka Sep 2002 B1
6448537 Nering Sep 2002 B1
6458718 Todd Oct 2002 B1
6461974 Ni et al. Oct 2002 B1
6462371 Weimer et al. Oct 2002 B1
6465051 Sahin et al. Oct 2002 B1
6465366 Nemani et al. Oct 2002 B1
6477980 White et al. Nov 2002 B1
6479373 Dreybrodt et al. Nov 2002 B2
6488984 Wada et al. Dec 2002 B1
6494959 Samoilov et al. Dec 2002 B1
6499425 Sandhu et al. Dec 2002 B1
6500728 Wang Dec 2002 B1
6503843 Xia et al. Jan 2003 B1
6506291 Tsai et al. Jan 2003 B2
6509623 Zhao Jan 2003 B2
6516815 Stevens et al. Feb 2003 B1
6518548 Sugaya et al. Feb 2003 B2
6527968 Wang et al. Mar 2003 B1
6528409 Lopatin et al. Mar 2003 B1
6528751 Hoffman et al. Mar 2003 B1
6531377 Knorr et al. Mar 2003 B2
6537733 Campana et al. Mar 2003 B2
6541397 Bencher Apr 2003 B1
6541671 Martinez et al. Apr 2003 B1
6544340 Yudovsky Apr 2003 B2
6547977 Yan et al. Apr 2003 B1
6551924 Dalton et al. Apr 2003 B1
6558564 Loewenhardt May 2003 B1
6565729 Chen et al. May 2003 B2
6569773 Gellrich et al. May 2003 B1
6573030 Fairbairn et al. Jun 2003 B1
6573606 Sambucetti et al. Jun 2003 B2
6585851 Ohmi et al. Jul 2003 B1
6586163 Okabe et al. Jul 2003 B1
6596599 Guo Jul 2003 B1
6596602 Iizuka et al. Jul 2003 B2
6596654 Bayman et al. Jul 2003 B1
6602434 Hung et al. Aug 2003 B1
6603269 Vo et al. Aug 2003 B1
6605874 Leu et al. Aug 2003 B2
6616967 Test Sep 2003 B1
6627532 Gaillard et al. Sep 2003 B1
6635578 Xu et al. Oct 2003 B1
6638810 Bakli et al. Oct 2003 B2
6645301 Sainty et al. Nov 2003 B2
6645550 Cheung et al. Nov 2003 B1
6656831 Lee et al. Dec 2003 B1
6656837 Xu et al. Dec 2003 B2
6663715 Yuda et al. Dec 2003 B1
6677242 Liu et al. Jan 2004 B1
6677247 Yuan et al. Jan 2004 B2
6679981 Pan et al. Jan 2004 B1
6688375 Turner Feb 2004 B1
6713356 Skotnicki et al. Mar 2004 B1
6713835 Horak et al. Mar 2004 B1
6717189 Inoue et al. Apr 2004 B2
6720213 Gambino et al. Apr 2004 B1
6740585 Yoon et al. May 2004 B2
6740977 Ahn et al. May 2004 B2
6743473 Parkhe et al. Jun 2004 B1
6743732 Lin et al. Jun 2004 B1
6756235 Liu et al. Jun 2004 B1
6759261 Shimokohbe et al. Jul 2004 B2
6762127 Boiteux et al. Jul 2004 B2
6762435 Towle Jul 2004 B2
6764958 Nemani et al. Jul 2004 B1
6765273 Chau et al. Jul 2004 B1
6767834 Chung et al. Jul 2004 B2
6768079 Kosakai Jul 2004 B2
6770166 Fisher Aug 2004 B1
6772827 Keller et al. Aug 2004 B2
6792889 Nakano et al. Sep 2004 B2
6794290 Papasouliotis et al. Sep 2004 B1
6794311 Huang et al. Sep 2004 B2
6796314 Graff et al. Sep 2004 B1
6797189 Hung et al. Sep 2004 B2
6800336 Fornsel et al. Oct 2004 B1
6800830 Mahawili Oct 2004 B2
6802944 Ahmad et al. Oct 2004 B2
6808564 Dietze Oct 2004 B2
6808748 Kapoor et al. Oct 2004 B2
6821571 Huang Nov 2004 B2
6823589 White et al. Nov 2004 B2
6830624 Janakiraman et al. Dec 2004 B2
6835995 Li Dec 2004 B2
6846745 Papasouliotis et al. Jan 2005 B1
6849854 Sainty Feb 2005 B2
6852550 Tuttle et al. Feb 2005 B2
6858153 Bjorkman et al. Feb 2005 B2
6861097 Goosey et al. Mar 2005 B1
6861332 Park et al. Mar 2005 B2
6867141 Jung et al. Mar 2005 B2
6869880 Krishnaraj et al. Mar 2005 B2
6875280 Ikeda et al. Apr 2005 B2
6878206 Tzu et al. Apr 2005 B2
6879981 Rothschild et al. Apr 2005 B2
6886491 Kim et al. May 2005 B2
6892669 Xu et al. May 2005 B2
6893967 Wright et al. May 2005 B1
6897532 Schwarz et al. May 2005 B1
6900596 Yang et al. May 2005 B2
6903031 Karim et al. Jun 2005 B2
6903511 Chistyakov Jun 2005 B2
6908862 Li et al. Jun 2005 B2
6911112 An Jun 2005 B2
6911401 Khandan et al. Jun 2005 B2
6921556 Shimizu et al. Jul 2005 B2
6924191 Liu et al. Aug 2005 B2
6930047 Yamazaki Aug 2005 B2
6935269 Lee et al. Aug 2005 B2
6942753 Choi et al. Sep 2005 B2
6946033 Tsuel et al. Sep 2005 B2
6951821 Hamelin et al. Oct 2005 B2
6958175 Sakamoto et al. Oct 2005 B2
6958286 Chen et al. Oct 2005 B2
6974780 Schuegraf Dec 2005 B2
6995073 Liou Feb 2006 B2
7017269 White et al. Mar 2006 B2
7018941 Cui et al. Mar 2006 B2
7030034 Fucsko et al. Apr 2006 B2
7049200 Arghavani et al. May 2006 B2
7071532 Geffken et al. Jul 2006 B2
7078312 Sutanto et al. Jul 2006 B1
7081414 Zhang et al. Jul 2006 B2
7084070 Lee et al. Aug 2006 B1
7115525 Abatchev et al. Oct 2006 B2
7122949 Strikovski Oct 2006 B2
7145725 Hasel et al. Dec 2006 B2
7148155 Tarafdar et al. Dec 2006 B1
7166233 Johnson et al. Jan 2007 B2
7183214 Nam et al. Feb 2007 B2
7196342 Ershov et al. Mar 2007 B2
7205240 Karim et al. Apr 2007 B2
7223701 Min et al. May 2007 B2
7226805 Hallin et al. Jun 2007 B2
7235137 Kitayama et al. Jun 2007 B2
7244474 Hanawa et al. Jul 2007 B2
7252011 Traverso Aug 2007 B2
7252716 Kim et al. Aug 2007 B2
7253123 Arghavani et al. Aug 2007 B2
7256370 Guiver Aug 2007 B2
7288482 Panda et al. Oct 2007 B2
7291360 Hanawa et al. Nov 2007 B2
7316761 Doan et al. Jan 2008 B2
7329608 Babayan et al. Feb 2008 B2
7341633 Lubomirsky et al. Mar 2008 B2
7344912 Okoroanyanwu Mar 2008 B1
7358192 Merry et al. Apr 2008 B2
7364956 Saito Apr 2008 B2
7365016 Ouellet et al. Apr 2008 B2
7390710 Derderian et al. Jun 2008 B2
7396480 Kao et al. Jul 2008 B2
7416989 Liu et al. Aug 2008 B1
7465358 Weidman et al. Dec 2008 B2
7468319 Lee Dec 2008 B2
7484473 Keller et al. Feb 2009 B2
7488688 Chung et al. Feb 2009 B2
7494545 Lam et al. Feb 2009 B2
7500445 Zhao et al. Mar 2009 B2
7513214 Okumura et al. Apr 2009 B2
7520957 Kao et al. Apr 2009 B2
7553756 Hayashi et al. Jun 2009 B2
7575007 Tang et al. Aug 2009 B2
7581511 Mardian et al. Sep 2009 B2
7604708 Wood et al. Oct 2009 B2
7611980 Wells Nov 2009 B2
7628897 Mungekar et al. Dec 2009 B2
7682518 Chandrachood et al. Mar 2010 B2
7695590 Hanawa et al. Apr 2010 B2
7708859 Huang et al. May 2010 B2
7709396 Bencher et al. May 2010 B2
7722925 White et al. May 2010 B2
7723221 Hayashi May 2010 B2
7749326 Kim et al. Jul 2010 B2
7785672 Choi et al. Aug 2010 B2
7790634 Munro et al. Sep 2010 B2
7806077 Lee et al. Oct 2010 B2
7806078 Yoshida Oct 2010 B2
7807578 Bencher et al. Oct 2010 B2
7825038 Ingle et al. Nov 2010 B2
7837828 Ikeda et al. Nov 2010 B2
7845309 Condrashoff et al. Dec 2010 B2
7871926 Xia et al. Jan 2011 B2
7910491 Soo Kwon et al. Mar 2011 B2
7915139 Lang et al. Mar 2011 B1
7932181 Singh et al. Apr 2011 B2
7939422 Ingle et al. May 2011 B2
7968441 Xu Jun 2011 B2
7976631 Burrows Jul 2011 B2
7981806 Jung Jul 2011 B2
7989365 Park et al. Aug 2011 B2
8008166 Sanchez et al. Aug 2011 B2
8048811 Feustel et al. Nov 2011 B2
8058179 Draeger et al. Nov 2011 B1
8071482 Kawada Dec 2011 B2
8074599 Choi et al. Dec 2011 B2
8076198 Lee et al. Dec 2011 B2
8083853 Choi et al. Dec 2011 B2
8114245 Ohmi et al. Feb 2012 B2
8119530 Hori et al. Feb 2012 B2
8133349 Panagopoulos Mar 2012 B1
8183134 Wu May 2012 B2
8187486 Liu et al. May 2012 B1
8211808 Sapre et al. Jul 2012 B2
8272346 Bettencourt et al. Sep 2012 B2
8298627 Minami et al. Oct 2012 B2
8309440 Sanchez et al. Nov 2012 B2
8312839 Baek Nov 2012 B2
8313610 Dhindsa Nov 2012 B2
8328939 Choi et al. Dec 2012 B2
8357435 Lubomirsky Jan 2013 B2
8368308 Banna et al. Feb 2013 B2
8390980 Sansoni et al. Mar 2013 B2
8427067 Espiau et al. Apr 2013 B2
8435902 Tang et al. May 2013 B2
8475674 Thadani et al. Jul 2013 B2
8480850 Tyler et al. Jul 2013 B2
8491805 Kushibiki et al. Jul 2013 B2
8501629 Tang et al. Aug 2013 B2
8506713 Takagi Aug 2013 B2
8512509 Bera et al. Aug 2013 B2
8540844 Hudson et al. Sep 2013 B2
8551891 Liang Oct 2013 B2
8573152 De La Llera Nov 2013 B2
8622021 Taylor et al. Jan 2014 B2
8623148 Mitchell et al. Jan 2014 B2
8623471 Tyler et al. Jan 2014 B2
8642481 Wang et al. Feb 2014 B2
8652298 Dhindsa et al. Feb 2014 B2
8679982 Wang et al. Mar 2014 B2
8679983 Wang et al. Mar 2014 B2
8702902 Blom et al. Apr 2014 B2
8741778 Yang et al. Jun 2014 B2
8747680 Deshpande Jun 2014 B1
8765574 Zhang et al. Jul 2014 B2
8771536 Zhang et al. Jul 2014 B2
8771539 Zhang et al. Jul 2014 B2
8772888 Jung et al. Jul 2014 B2
8778079 Begarney et al. Jul 2014 B2
8801952 Wang et al. Aug 2014 B1
8808563 Wang et al. Aug 2014 B2
8846163 Kao et al. Sep 2014 B2
8869742 Dhindsa Oct 2014 B2
8871651 Choi et al. Oct 2014 B1
8895449 Zhu et al. Nov 2014 B1
8900364 Wright Dec 2014 B2
8921234 Liu et al. Dec 2014 B2
8927390 Sapre et al. Jan 2015 B2
8951429 Liu et al. Feb 2015 B1
8956980 Chen et al. Feb 2015 B1
8969212 Ren et al. Mar 2015 B2
8980005 Carlson et al. Mar 2015 B2
8980758 Ling et al. Mar 2015 B1
8980763 Wang et al. Mar 2015 B2
8992723 Sorensen et al. Mar 2015 B2
8999839 Su et al. Apr 2015 B2
8999856 Zhang et al. Apr 2015 B2
9012302 Sapre et al. Apr 2015 B2
9017481 Pettinger et al. Apr 2015 B1
9023732 Wang et al. May 2015 B2
9023734 Chen et al. May 2015 B2
9034770 Park et al. May 2015 B2
9040422 Wang et al. May 2015 B2
9064815 Zhang et al. Jun 2015 B2
9064816 Kim et al. Jun 2015 B2
9072158 Ikeda et al. Jun 2015 B2
9093371 Wang et al. Jul 2015 B2
9093390 Wang et al. Jul 2015 B2
9111877 Chen et al. Aug 2015 B2
9111907 Kamineni Aug 2015 B2
9114438 Hoinkis et al. Aug 2015 B2
9117855 Cho et al. Aug 2015 B2
9132436 Liang et al. Sep 2015 B2
9136273 Purayath et al. Sep 2015 B1
9144147 Yang et al. Sep 2015 B2
9153442 Wang et al. Oct 2015 B2
9159606 Purayath et al. Oct 2015 B1
9165786 Purayath et al. Oct 2015 B1
9184055 Wang et al. Nov 2015 B2
9190293 Wang et al. Nov 2015 B2
9190302 Ni Nov 2015 B2
9209012 Chen et al. Dec 2015 B2
9236265 Korolik et al. Jan 2016 B2
9236266 Zhang et al. Jan 2016 B2
9245762 Zhang et al. Jan 2016 B2
9263278 Purayath et al. Feb 2016 B2
9269590 Luere et al. Feb 2016 B2
9275834 Park et al. Mar 2016 B1
9287095 Nguyen et al. Mar 2016 B2
9287134 Wang et al. Mar 2016 B2
9293568 Ko Mar 2016 B2
9299537 Kobayashi et al. Mar 2016 B2
9299538 Kobayashi et al. Mar 2016 B2
9299575 Park et al. Mar 2016 B2
9299582 Ingle et al. Mar 2016 B2
9299583 Wang et al. Mar 2016 B1
9309598 Wang et al. Apr 2016 B2
9324576 Zhang et al. Apr 2016 B2
9343272 Pandit et al. May 2016 B1
9343327 Zhange et al. May 2016 B2
9349605 Xu et al. May 2016 B1
9355856 Wang et al. May 2016 B2
9355862 Pandit et al. May 2016 B2
9355863 Chen et al. May 2016 B2
9355922 Park et al. May 2016 B2
9362130 Ingle et al. Jun 2016 B2
9368364 Park et al. Jun 2016 B2
9373517 Yang et al. Jun 2016 B2
9373522 Wang et al. Jun 2016 B1
9378969 Hsu et al. Jun 2016 B2
9378978 Purayath et al. Jun 2016 B2
9384997 Ren et al. Jul 2016 B2
9385028 Nemani et al. Jul 2016 B2
9390937 Chen et al. Jul 2016 B2
9396989 Purayath et al. Jul 2016 B2
9406523 Chen et al. Aug 2016 B2
9412608 Wang et al. Aug 2016 B2
9418858 Wang et al. Aug 2016 B2
9425058 Kim et al. Aug 2016 B2
9437451 Chen et al. Sep 2016 B2
9449845 Liu et al. Sep 2016 B2
9449846 Liu et al. Sep 2016 B2
9449850 Wang et al. Sep 2016 B2
9472412 Zhang et al. Oct 2016 B2
9472417 Ingle et al. Oct 2016 B2
20010008803 Takamatsu et al. Jul 2001 A1
20010015261 Kobayashi et al. Aug 2001 A1
20010028093 Yamazaki et al. Oct 2001 A1
20010028922 Sandhu Oct 2001 A1
20010030366 Nakano et al. Oct 2001 A1
20010034106 Moise et al. Oct 2001 A1
20010034121 Fu et al. Oct 2001 A1
20010035124 Okayama et al. Nov 2001 A1
20010036706 Kitamura Nov 2001 A1
20010037856 Park Nov 2001 A1
20010037941 Thompson Nov 2001 A1
20010041444 Shields et al. Nov 2001 A1
20010047760 Mosiehl Dec 2001 A1
20010053585 Kikuchi et al. Dec 2001 A1
20010053610 Athavale Dec 2001 A1
20010054381 Umotoy et al. Dec 2001 A1
20010055842 Uh et al. Dec 2001 A1
20020000202 Yuda et al. Jan 2002 A1
20020011210 Satoh et al. Jan 2002 A1
20020011214 Kamarehi et al. Jan 2002 A1
20020016080 Khan et al. Feb 2002 A1
20020016085 Huang et al. Feb 2002 A1
20020023899 Khater et al. Feb 2002 A1
20020028582 Nallan et al. Mar 2002 A1
20020028585 Chung et al. Mar 2002 A1
20020029747 Powell et al. Mar 2002 A1
20020033233 Savas Mar 2002 A1
20020036143 Segawa et al. Mar 2002 A1
20020040764 Kwan et al. Apr 2002 A1
20020040766 Takahashi Apr 2002 A1
20020043690 Doyle et al. Apr 2002 A1
20020045966 Lee et al. Apr 2002 A1
20020054962 Huang May 2002 A1
20020069820 Yudovsky Jun 2002 A1
20020070414 Drescher et al. Jun 2002 A1
20020074573 Takeuchi et al. Jun 2002 A1
20020090781 Skotnicki et al. Jul 2002 A1
20020090835 Chakravarti et al. Jul 2002 A1
20020094378 O'Donnell Jul 2002 A1
20020094591 Sill et al. Jul 2002 A1
20020096493 Hattori Jul 2002 A1
20020098681 Hu et al. Jul 2002 A1
20020106845 Chao et al. Aug 2002 A1
20020112819 Kamarehi et al. Aug 2002 A1
20020124867 Kim et al. Sep 2002 A1
20020129769 Kim et al. Sep 2002 A1
20020129902 Babayan et al. Sep 2002 A1
20020144657 Chiang et al. Oct 2002 A1
20020153808 Skotnicki et al. Oct 2002 A1
20020164885 Lill et al. Nov 2002 A1
20020177322 Li et al. Nov 2002 A1
20020187280 Johnson et al. Dec 2002 A1
20020187655 Tan et al. Dec 2002 A1
20020197823 Yoo et al. Dec 2002 A1
20030003757 Naltan et al. Jan 2003 A1
20030007910 Lazarovich et al. Jan 2003 A1
20030010645 Ting et al. Jan 2003 A1
20030019428 Ku et al. Jan 2003 A1
20030019580 Strang Jan 2003 A1
20030026060 Hiramatsu et al. Feb 2003 A1
20030029566 Roth Feb 2003 A1
20030029567 Dhindsa et al. Feb 2003 A1
20030029715 Yu et al. Feb 2003 A1
20030032284 Enomoto et al. Feb 2003 A1
20030038127 Liu et al. Feb 2003 A1
20030038305 Wasshuber Feb 2003 A1
20030054608 Tseng et al. Mar 2003 A1
20030071035 Brailove Apr 2003 A1
20030072639 White et al. Apr 2003 A1
20030075808 Inoue et al. Apr 2003 A1
20030077909 Jiwari Apr 2003 A1
20030079686 Chen et al. May 2003 A1
20030087531 Kang et al. May 2003 A1
20030091938 Fairbairn et al. May 2003 A1
20030098125 An May 2003 A1
20030109143 Hsieh et al. Jun 2003 A1
20030116087 Nguyen et al. Jun 2003 A1
20030116439 Seo et al. Jun 2003 A1
20030121608 Chen et al. Jul 2003 A1
20030121609 Ohmi et al. Jul 2003 A1
20030124465 Lee et al. Jul 2003 A1
20030124842 Hytros et al. Jul 2003 A1
20030127740 Hsu et al. Jul 2003 A1
20030129106 Sorensen et al. Jul 2003 A1
20030129827 Lee et al. Jul 2003 A1
20030132319 Hytros et al. Jul 2003 A1
20030140844 Maa et al. Jul 2003 A1
20030143328 Chen et al. Jul 2003 A1
20030148035 Lingampalli Aug 2003 A1
20030152691 Baude Aug 2003 A1
20030159307 Sago et al. Aug 2003 A1
20030168439 Kanno et al. Sep 2003 A1
20030170945 Igeta et al. Sep 2003 A1
20030173333 Wang et al. Sep 2003 A1
20030173347 Guiver Sep 2003 A1
20030173675 Watanabe Sep 2003 A1
20030181040 Ivanov et al. Sep 2003 A1
20030183244 Rossman Oct 2003 A1
20030190426 Padhi et al. Oct 2003 A1
20030199170 Li Oct 2003 A1
20030200929 Otsuki Oct 2003 A1
20030205329 Gujer et al. Nov 2003 A1
20030215963 AmRhein et al. Nov 2003 A1
20030216044 Lin et al. Nov 2003 A1
20030221780 Lei et al. Dec 2003 A1
20030224217 Byun et al. Dec 2003 A1
20030224617 Baek et al. Dec 2003 A1
20040005726 Huang Jan 2004 A1
20040018304 Chung et al. Jan 2004 A1
20040020801 Zhao et al. Feb 2004 A1
20040026371 Nguyen et al. Feb 2004 A1
20040033678 Arghavani et al. Feb 2004 A1
20040033684 Li Feb 2004 A1
20040050328 Kumagai et al. Mar 2004 A1
20040058293 Nguyen et al. Mar 2004 A1
20040069225 Fairbairn et al. Apr 2004 A1
20040070346 Choi Apr 2004 A1
20040072446 Liu et al. Apr 2004 A1
20040076529 Gnauck et al. Apr 2004 A1
20040083967 Yuda et al. May 2004 A1
20040087139 Yeh et al. May 2004 A1
20040092063 Okumura May 2004 A1
20040099378 Kim et al. May 2004 A1
20040101667 O'Loughlin et al. May 2004 A1
20040108068 Senzaki et al. Jun 2004 A1
20040110354 Natzle et al. Jun 2004 A1
20040115876 Goundar et al. Jun 2004 A1
20040129224 Yamazaki Jul 2004 A1
20040129671 Ji et al. Jul 2004 A1
20040137161 Segawa et al. Jul 2004 A1
20040144490 Zhao et al. Jul 2004 A1
20040147126 Yamashita et al. Jul 2004 A1
20040149394 Doan et al. Aug 2004 A1
20040152342 Li Aug 2004 A1
20040154535 Chen et al. Aug 2004 A1
20040157444 Chiu Aug 2004 A1
20040161921 Ryu Aug 2004 A1
20040175913 Johnson et al. Sep 2004 A1
20040175929 Schmitt et al. Sep 2004 A1
20040182315 Laflamme et al. Sep 2004 A1
20040192032 Ohmori et al. Sep 2004 A1
20040194799 Kim et al. Oct 2004 A1
20040200499 Harvey Oct 2004 A1
20040211357 Gadgil et al. Oct 2004 A1
20040219737 Quon Nov 2004 A1
20040219789 Wood et al. Nov 2004 A1
20040245091 Karim et al. Dec 2004 A1
20040263827 Xu Dec 2004 A1
20050001276 Gao et al. Jan 2005 A1
20050003676 Ho et al. Jan 2005 A1
20050009340 Saijo et al. Jan 2005 A1
20050009358 Choi et al. Jan 2005 A1
20050026430 Kim et al. Feb 2005 A1
20050026431 Kazumi et al. Feb 2005 A1
20050035455 Hu et al. Feb 2005 A1
20050048801 Karim et al. Mar 2005 A1
20050051094 Schaepkens et al. Mar 2005 A1
20050073051 Yamamoto et al. Apr 2005 A1
20050079706 Kumar et al. Apr 2005 A1
20050090078 Ishihara Apr 2005 A1
20050090120 Hasegawa et al. Apr 2005 A1
20050098111 Shimizu et al. May 2005 A1
20050105991 Hofmeister et al. May 2005 A1
20050112876 Wu May 2005 A1
20050112901 Ji et al. May 2005 A1
20050121750 Chan et al. Jun 2005 A1
20050164479 Perng et al. Jul 2005 A1
20050167394 Liu et al. Aug 2005 A1
20050181588 Kim Aug 2005 A1
20050196967 Savas et al. Sep 2005 A1
20050199489 Stevens et al. Sep 2005 A1
20050205110 Kao et al. Sep 2005 A1
20050205862 Koemtzopoulos et al. Sep 2005 A1
20050208215 Eguchi et al. Sep 2005 A1
20050214477 Hanawa et al. Sep 2005 A1
20050218507 Kao et al. Oct 2005 A1
20050219786 Brown et al. Oct 2005 A1
20050221552 Kao et al. Oct 2005 A1
20050230350 Kao et al. Oct 2005 A1
20050236694 Wu et al. Oct 2005 A1
20050239282 Chen et al. Oct 2005 A1
20050251990 Choi et al. Nov 2005 A1
20050266622 Arghavani et al. Dec 2005 A1
20050266691 Gu et al. Dec 2005 A1
20050269030 Kent et al. Dec 2005 A1
20050274324 Takahashi et al. Dec 2005 A1
20050279454 Snijders Dec 2005 A1
20050287755 Bachmann Dec 2005 A1
20050287771 Seamons et al. Dec 2005 A1
20060000802 Kumar et al. Jan 2006 A1
20060000805 Todorow et al. Jan 2006 A1
20060005856 Sun et al. Jan 2006 A1
20060005930 Ikeda et al. Jan 2006 A1
20060006057 Laermer Jan 2006 A1
20060011298 Lim et al. Jan 2006 A1
20060011299 Condrashoff et al. Jan 2006 A1
20060016783 Wu et al. Jan 2006 A1
20060019456 Bu et al. Jan 2006 A1
20060019486 Yu et al. Jan 2006 A1
20060021574 Armour et al. Feb 2006 A1
20060024954 Wu et al. Feb 2006 A1
20060024956 Zhijian et al. Feb 2006 A1
20060033678 Lubomirsky et al. Feb 2006 A1
20060040055 Nguyen et al. Feb 2006 A1
20060043066 Kamp Mar 2006 A1
20060046412 Nguyen et al. Mar 2006 A1
20060046419 Sandhu et al. Mar 2006 A1
20060046470 Becknell Mar 2006 A1
20060046484 Abatchev et al. Mar 2006 A1
20060051966 Or et al. Mar 2006 A1
20060051968 Joshi et al. Mar 2006 A1
20060054184 Mozetic et al. Mar 2006 A1
20060060942 Minixhofer et al. Mar 2006 A1
20060087644 McMillin et al. Apr 2006 A1
20060093756 Rajagopalan et al. May 2006 A1
20060097397 Russell et al. May 2006 A1
20060102076 Smith et al. May 2006 A1
20060102587 Kimura May 2006 A1
20060118178 Desbiolles et al. Jun 2006 A1
20060121724 Yue et al. Jun 2006 A1
20060124242 Kanarik et al. Jun 2006 A1
20060130971 Chang et al. Jun 2006 A1
20060157449 Takahashi et al. Jul 2006 A1
20060162661 Jung et al. Jul 2006 A1
20060166107 Chen et al. Jul 2006 A1
20060166515 Karim et al. Jul 2006 A1
20060169327 Shajii et al. Aug 2006 A1
20060178008 Yeh et al. Aug 2006 A1
20060185592 Matsuura Aug 2006 A1
20060191479 Mizukami et al. Aug 2006 A1
20060191637 Zajac et al. Aug 2006 A1
20060207504 Hasebe et al. Sep 2006 A1
20060207595 Ohmi et al. Sep 2006 A1
20060210723 Ishizaka Sep 2006 A1
20060211260 Tran et al. Sep 2006 A1
20060216878 Lee Sep 2006 A1
20060216923 Tran et al. Sep 2006 A1
20060222481 Foree Oct 2006 A1
20060226121 Aoi Oct 2006 A1
20060228889 Edelberg et al. Oct 2006 A1
20060240661 Annapragada et al. Oct 2006 A1
20060244107 Sugihara Nov 2006 A1
20060246217 Weidman et al. Nov 2006 A1
20060251800 Weidman et al. Nov 2006 A1
20060251801 Weidman et al. Nov 2006 A1
20060252252 Zhu et al. Nov 2006 A1
20060252265 Jin et al. Nov 2006 A1
20060254716 Mosden et al. Nov 2006 A1
20060260750 Rueger Nov 2006 A1
20060261490 Su et al. Nov 2006 A1
20060264003 Eun Nov 2006 A1
20060264043 Stewart et al. Nov 2006 A1
20060266288 Choi Nov 2006 A1
20060292846 Pinto et al. Dec 2006 A1
20070025907 Rezeq Feb 2007 A1
20070048977 Lee et al. Mar 2007 A1
20070056925 Liu et al. Mar 2007 A1
20070062453 Ishikawa Mar 2007 A1
20070071888 Shanmugasundram et al. Mar 2007 A1
20070072408 Enomoto et al. Mar 2007 A1
20070090325 Hwang et al. Apr 2007 A1
20070099428 Shamiryan et al. May 2007 A1
20070099431 Li May 2007 A1
20070099438 Ye et al. May 2007 A1
20070107750 Sawin et al. May 2007 A1
20070108404 Stewart et al. May 2007 A1
20070111519 Lubomirsky et al. May 2007 A1
20070117396 Wu et al. May 2007 A1
20070119370 Ma et al. May 2007 A1
20070119371 Ma et al. May 2007 A1
20070123051 Arghavani et al. May 2007 A1
20070131274 Stollwerck et al. Jun 2007 A1
20070145023 Holber et al. Jun 2007 A1
20070154838 Lee Jul 2007 A1
20070163440 Kim et al. Jul 2007 A1
20070175861 Hwang et al. Aug 2007 A1
20070181057 Lam et al. Aug 2007 A1
20070193515 Jeon et al. Aug 2007 A1
20070197028 Byun et al. Aug 2007 A1
20070207275 Nowak et al. Sep 2007 A1
20070212288 Holst Sep 2007 A1
20070227554 Satoh et al. Oct 2007 A1
20070231109 Pak et al. Oct 2007 A1
20070232071 Balseanu et al. Oct 2007 A1
20070235134 Iimuro Oct 2007 A1
20070238199 Yamashita Oct 2007 A1
20070238321 Futase et al. Oct 2007 A1
20070243685 Jiang et al. Oct 2007 A1
20070243714 Shin et al. Oct 2007 A1
20070259467 Tweet et al. Nov 2007 A1
20070264820 Liu Nov 2007 A1
20070266946 Choi Nov 2007 A1
20070269976 Futase et al. Nov 2007 A1
20070277734 Lubomirsky et al. Dec 2007 A1
20070281106 Lubomirksy et al. Dec 2007 A1
20070287292 Li et al. Dec 2007 A1
20070296967 Gupta et al. Dec 2007 A1
20080017104 Matyushkin et al. Jan 2008 A1
20080020570 Naik Jan 2008 A1
20080044990 Lee Feb 2008 A1
20080063810 Park et al. Mar 2008 A1
20080075668 Goldstein Mar 2008 A1
20080081483 Wu Apr 2008 A1
20080085604 Hoshino et al. Apr 2008 A1
20080099147 Myo et al. May 2008 A1
20080099431 Kumar et al. May 2008 A1
20080099876 Seto May 2008 A1
20080102570 Fisher et al. May 2008 A1
20080102640 Hassan et al. May 2008 A1
20080104782 Hughes May 2008 A1
20080115726 Ingle et al. May 2008 A1
20080121970 Aritome May 2008 A1
20080124919 Huang et al. May 2008 A1
20080124937 Xu et al. May 2008 A1
20080142483 Hua et al. Jun 2008 A1
20080153306 Cho et al. Jun 2008 A1
20080156771 Jeon et al. Jul 2008 A1
20080157225 Datta et al. Jul 2008 A1
20080160210 Yang et al. Jul 2008 A1
20080162781 Haller et al. Jul 2008 A1
20080171407 Nakabayashi et al. Jul 2008 A1
20080173906 Zhu Jul 2008 A1
20080182381 Kiyotoshi Jul 2008 A1
20080182382 Ingle et al. Jul 2008 A1
20080182383 Lee et al. Jul 2008 A1
20080202892 Smith et al. Aug 2008 A1
20080230519 Takahashi Sep 2008 A1
20080233709 Conti et al. Sep 2008 A1
20080236751 Aramaki et al. Oct 2008 A1
20080254635 Benzel et al. Oct 2008 A1
20080261404 Kozuka et al. Oct 2008 A1
20080268645 Kao et al. Oct 2008 A1
20080292798 Huh et al. Nov 2008 A1
20080293248 Park et al. Nov 2008 A1
20090001480 Cheng Jan 2009 A1
20090004849 Eun Jan 2009 A1
20090014127 Shah et al. Jan 2009 A1
20090017227 Fu et al. Jan 2009 A1
20090045167 Maruyama Feb 2009 A1
20090072401 Arnold et al. Mar 2009 A1
20090081878 Dhindsa Mar 2009 A1
20090084317 Wu et al. Apr 2009 A1
20090087960 Cho et al. Apr 2009 A1
20090087979 Raghuram Apr 2009 A1
20090095621 Kao et al. Apr 2009 A1
20090098706 Kim et al. Apr 2009 A1
20090104738 Ring et al. Apr 2009 A1
20090104764 Xia et al. Apr 2009 A1
20090104782 Lu et al. Apr 2009 A1
20090111280 Kao et al. Apr 2009 A1
20090120464 Rasheed et al. May 2009 A1
20090170221 Jacques et al. Jul 2009 A1
20090170331 Cheng et al. Jul 2009 A1
20090179300 Arai Jul 2009 A1
20090189246 Wu et al. Jul 2009 A1
20090194810 Kiyotoshi et al. Aug 2009 A1
20090197418 Sago Aug 2009 A1
20090202721 Nogami et al. Aug 2009 A1
20090255902 Satoh et al. Oct 2009 A1
20090258162 Furuta et al. Oct 2009 A1
20090269934 Kao et al. Oct 2009 A1
20090274590 Willwerth et al. Nov 2009 A1
20090275146 Takano et al. Nov 2009 A1
20090275205 Kiehlbauch et al. Nov 2009 A1
20090275206 Katz et al. Nov 2009 A1
20090277587 Lubomirsky et al. Nov 2009 A1
20090277874 Rui et al. Nov 2009 A1
20090280650 Lubomirsky et al. Nov 2009 A1
20090286400 Heo et al. Nov 2009 A1
20090294898 Feustel et al. Dec 2009 A1
20090317978 Higashi Dec 2009 A1
20090320756 Tanaka Dec 2009 A1
20100003824 Kadkhodayan et al. Jan 2010 A1
20100022030 Ditizio Jan 2010 A1
20100047080 Bruce Feb 2010 A1
20100048027 Cheng et al. Feb 2010 A1
20100055408 Lee et al. Mar 2010 A1
20100055917 Kim Mar 2010 A1
20100059889 Gosset et al. Mar 2010 A1
20100062603 Ganguly et al. Mar 2010 A1
20100075503 Bencher et al. Mar 2010 A1
20100093151 Arghavani et al. Apr 2010 A1
20100093168 Naik Apr 2010 A1
20100096367 Jeon et al. Apr 2010 A1
20100098884 Balseanu et al. Apr 2010 A1
20100099236 Kwon et al. Apr 2010 A1
20100099263 Kao et al. Apr 2010 A1
20100101727 Ji Apr 2010 A1
20100105209 Winniczek et al. Apr 2010 A1
20100130001 Noguchi May 2010 A1
20100144140 Chandrashekar et al. Jun 2010 A1
20100147219 Hsieh et al. Jun 2010 A1
20100164422 Shu et al. Jul 2010 A1
20100173499 Tao et al. Jul 2010 A1
20100178748 Subramanian Jul 2010 A1
20100178755 Lee et al. Jul 2010 A1
20100180819 Hatanaka et al. Jul 2010 A1
20100183825 Becker et al. Jul 2010 A1
20100187534 Nishi et al. Jul 2010 A1
20100187588 Kim et al. Jul 2010 A1
20100187694 Yu et al. Jul 2010 A1
20100190352 Jaiswal Jul 2010 A1
20100197143 Nishimura Aug 2010 A1
20100203739 Becker et al. Aug 2010 A1
20100207205 Grebs et al. Aug 2010 A1
20100240205 Son Sep 2010 A1
20100258913 Lue Oct 2010 A1
20100294199 Tran et al. Nov 2010 A1
20100330814 Yokota et al. Dec 2010 A1
20110005607 Desbiolles et al. Jan 2011 A1
20110008950 Xu Jan 2011 A1
20110011338 Chuc et al. Jan 2011 A1
20110034035 Liang et al. Feb 2011 A1
20110039407 Nishizuka Feb 2011 A1
20110045676 Park Feb 2011 A1
20110053380 Sapre et al. Mar 2011 A1
20110058303 Migita Mar 2011 A1
20110061810 Ganguly et al. Mar 2011 A1
20110061812 Ganguly et al. Mar 2011 A1
20110065276 Ganguly et al. Mar 2011 A1
20110081782 Liang et al. Apr 2011 A1
20110100489 Orito May 2011 A1
20110111596 Kanakasabapathy May 2011 A1
20110114601 Lubomirsky et al. May 2011 A1
20110115378 Lubomirsky et al. May 2011 A1
20110124144 Schlemm et al. May 2011 A1
20110127156 Foad et al. Jun 2011 A1
20110143542 Feurprier et al. Jun 2011 A1
20110151674 Tang et al. Jun 2011 A1
20110151676 Ingle et al. Jun 2011 A1
20110151677 Wang et al. Jun 2011 A1
20110151678 Ashtiani et al. Jun 2011 A1
20110155181 Inatomi Jun 2011 A1
20110159690 Chandrashekar et al. Jun 2011 A1
20110165057 Honda et al. Jul 2011 A1
20110165771 Ring et al. Jul 2011 A1
20110180847 Ikeda et al. Jul 2011 A1
20110195575 Wang Aug 2011 A1
20110217851 Liang et al. Sep 2011 A1
20110226734 Sumiya et al. Sep 2011 A1
20110227028 Sekar et al. Sep 2011 A1
20110230052 Tang et al. Sep 2011 A1
20110232737 Ruletzki et al. Sep 2011 A1
20110266252 Thadani et al. Nov 2011 A1
20110266682 Edelstein et al. Nov 2011 A1
20110294300 Zhang et al. Dec 2011 A1
20110298061 Siddiqui et al. Dec 2011 A1
20120003782 Byun et al. Jan 2012 A1
20120009796 Cui et al. Jan 2012 A1
20120025289 Liang et al. Feb 2012 A1
20120031559 Dhindsa et al. Feb 2012 A1
20120034786 Dhindsa et al. Feb 2012 A1
20120035766 Shajii et al. Feb 2012 A1
20120052683 Kim et al. Mar 2012 A1
20120068242 Shin et al. Mar 2012 A1
20120103518 Kakimoto May 2012 A1
20120104564 Won et al. May 2012 A1
20120129354 Luong May 2012 A1
20120135576 Lee et al. May 2012 A1
20120148369 Michalski et al. Jun 2012 A1
20120149200 Culp et al. Jun 2012 A1
20120161405 Mohn et al. Jun 2012 A1
20120164839 Nishimura Jun 2012 A1
20120180954 Yang et al. Jul 2012 A1
20120181599 Lung Jul 2012 A1
20120182808 Lue et al. Jul 2012 A1
20120196447 Yang et al. Aug 2012 A1
20120202408 Shajii et al. Aug 2012 A1
20120208361 Ha Aug 2012 A1
20120211462 Zhang et al. Aug 2012 A1
20120223048 Paranjpe et al. Sep 2012 A1
20120223418 Stowers et al. Sep 2012 A1
20120225557 Serry et al. Sep 2012 A1
20120228642 Aube et al. Sep 2012 A1
20120238102 Zhang et al. Sep 2012 A1
20120238103 Zhang et al. Sep 2012 A1
20120241411 Darling et al. Sep 2012 A1
20120247390 Sawada et al. Oct 2012 A1
20120247670 Dobashi et al. Oct 2012 A1
20120247671 Sugawara Oct 2012 A1
20120258600 Godet et al. Oct 2012 A1
20120267346 Kao et al. Oct 2012 A1
20120282779 Arnold et al. Nov 2012 A1
20120285619 Matyushkin et al. Nov 2012 A1
20120285621 Tan Nov 2012 A1
20120292664 Kanike Nov 2012 A1
20120309204 Kang et al. Dec 2012 A1
20130001899 Hwang et al. Jan 2013 A1
20130005103 Liu et al. Jan 2013 A1
20130005140 Jeng et al. Jan 2013 A1
20130012032 Liu et al. Jan 2013 A1
20130032574 Liu et al. Feb 2013 A1
20130034666 Liang et al. Feb 2013 A1
20130034968 Zhang et al. Feb 2013 A1
20130045605 Wang et al. Feb 2013 A1
20130052827 Wang et al. Feb 2013 A1
20130052833 Ranjan et al. Feb 2013 A1
20130059440 Wang et al. Mar 2013 A1
20130062675 Thomas Mar 2013 A1
20130065398 Ohsawa et al. Mar 2013 A1
20130082197 Yang et al. Apr 2013 A1
20130084654 Gaylord et al. Apr 2013 A1
20130089988 Wang Apr 2013 A1
20130098868 Nishimura et al. Apr 2013 A1
20130105948 Kewley May 2013 A1
20130119016 Kagoshima May 2013 A1
20130119457 Lue et al. May 2013 A1
20130119483 Alptekin et al. May 2013 A1
20130130507 Wang et al. May 2013 A1
20130150303 Kungl et al. Jun 2013 A1
20130161726 Kim et al. Jun 2013 A1
20130175654 Muckenhirn et al. Jul 2013 A1
20130187220 Surthi Jul 2013 A1
20130193108 Zheng Aug 2013 A1
20130217243 Underwood et al. Aug 2013 A1
20130224960 Payyapilly et al. Aug 2013 A1
20130260533 Sapre et al. Oct 2013 A1
20130260564 Sapre et al. Oct 2013 A1
20130279066 Lubomirsky et al. Oct 2013 A1
20130284369 Kobayashi et al. Oct 2013 A1
20130284370 Kobayashi et al. Oct 2013 A1
20130284373 Sun et al. Oct 2013 A1
20130284374 Lubomirsky et al. Oct 2013 A1
20130286530 Lin et al. Oct 2013 A1
20130295297 Chou et al. Nov 2013 A1
20130298942 Ren et al. Nov 2013 A1
20130302980 Chandrashekar et al. Nov 2013 A1
20130337655 Lee et al. Dec 2013 A1
20130343829 Benedetti et al. Dec 2013 A1
20140004707 Thedjoisworo Jan 2014 A1
20140004708 Thedjoisworo Jan 2014 A1
20140020708 Kim et al. Jan 2014 A1
20140021673 Chen et al. Jan 2014 A1
20140057447 Yang et al. Feb 2014 A1
20140065842 Anthis et al. Mar 2014 A1
20140080308 Chen et al. Mar 2014 A1
20140080309 Park Mar 2014 A1
20140080310 Chen et al. Mar 2014 A1
20140083362 Lubomirsky et al. Mar 2014 A1
20140087488 Nam et al. Mar 2014 A1
20140097270 Liang et al. Apr 2014 A1
20140099794 Ingle et al. Apr 2014 A1
20140124364 Yoo et al. May 2014 A1
20140134847 Seya May 2014 A1
20140141621 Ren et al. May 2014 A1
20140152312 Snow et al. Jun 2014 A1
20140165912 Kao et al. Jun 2014 A1
20140166617 Chen Jun 2014 A1
20140166618 Tadigadapa et al. Jun 2014 A1
20140186772 Pohlers et al. Jul 2014 A1
20140190410 Kim Jul 2014 A1
20140199851 Nemani et al. Jul 2014 A1
20140225504 Kaneko et al. Aug 2014 A1
20140227881 Lubomirsky et al. Aug 2014 A1
20140234466 Gao et al. Aug 2014 A1
20140248773 Tsai et al. Sep 2014 A1
20140248780 Ingle et al. Sep 2014 A1
20140256131 Wang et al. Sep 2014 A1
20140256145 Abdallah et al. Sep 2014 A1
20140262031 Belostotskiy et al. Sep 2014 A1
20140262038 Wang et al. Sep 2014 A1
20140263272 Duan et al. Sep 2014 A1
20140264533 Simsek-Ege Sep 2014 A1
20140271097 Wang et al. Sep 2014 A1
20140273373 Makala et al. Sep 2014 A1
20140273406 Wang et al. Sep 2014 A1
20140273451 Wang et al. Sep 2014 A1
20140273462 Simsek-Ege et al. Sep 2014 A1
20140273489 Wang et al. Sep 2014 A1
20140273491 Zhang et al. Sep 2014 A1
20140273492 Anthis et al. Sep 2014 A1
20140273496 Kao Sep 2014 A1
20140288528 Py et al. Sep 2014 A1
20140302678 Paterson et al. Oct 2014 A1
20140302680 Singh Oct 2014 A1
20140308758 Nemani et al. Oct 2014 A1
20140308816 Wang et al. Oct 2014 A1
20140311581 Belostotskiy et al. Oct 2014 A1
20140342532 Zhu Nov 2014 A1
20140342569 Zhu et al. Nov 2014 A1
20140349477 Chandrashekar et al. Nov 2014 A1
20150011096 Chandrasekharan et al. Jan 2015 A1
20150014152 Hoinkis et al. Jan 2015 A1
20150031211 Sapre et al. Jan 2015 A1
20150037980 Rha Feb 2015 A1
20150060265 Cho et al. Mar 2015 A1
20150076110 Wu et al. Mar 2015 A1
20150076586 Rabkin et al. Mar 2015 A1
20150079797 Chen et al. Mar 2015 A1
20150118858 Takaba Apr 2015 A1
20150126035 Diao et al. May 2015 A1
20150126040 Korolik et al. May 2015 A1
20150129541 Wang et al. May 2015 A1
20150129545 Ingle et al. May 2015 A1
20150129546 Ingle et al. May 2015 A1
20150132953 Nowling May 2015 A1
20150132968 Ren et al. May 2015 A1
20150152072 Cantat et al. Jun 2015 A1
20150155177 Zhang et al. Jun 2015 A1
20150170879 Nguyen et al. Jun 2015 A1
20150170920 Purayath et al. Jun 2015 A1
20150170924 Nguyen et al. Jun 2015 A1
20150170926 Michalak Jun 2015 A1
20150170935 Wang et al. Jun 2015 A1
20150170943 Nguyen et al. Jun 2015 A1
20150171008 Luo Jun 2015 A1
20150179464 Wang et al. Jun 2015 A1
20150206764 Wang et al. Jul 2015 A1
20150214066 Luere et al. Jul 2015 A1
20150214067 Zhang et al. Jul 2015 A1
20150214092 Purayath et al. Jul 2015 A1
20150214337 Ko et al. Jul 2015 A1
20150221541 Nemani et al. Aug 2015 A1
20150235809 Ito et al. Aug 2015 A1
20150235863 Chen Aug 2015 A1
20150235865 Wang et al. Aug 2015 A1
20150235867 Nishizuka Aug 2015 A1
20150247231 Nguyen et al. Sep 2015 A1
20150249018 Park et al. Sep 2015 A1
20150270140 Gupta et al. Sep 2015 A1
20150275361 Lubomirsky et al. Oct 2015 A1
20150275375 Kim et al. Oct 2015 A1
20150294980 Lee et al. Oct 2015 A1
20150332930 Wang et al. Nov 2015 A1
20150340225 Kim et al. Nov 2015 A1
20150357201 Chen et al. Dec 2015 A1
20150357205 Wang et al. Dec 2015 A1
20150371861 Li et al. Dec 2015 A1
20150371864 Hsu et al. Dec 2015 A1
20150371865 Chen et al. Dec 2015 A1
20150371866 Chen et al. Dec 2015 A1
20160005572 Liang et al. Jan 2016 A1
20160005833 Collins et al. Jan 2016 A1
20160027654 Kim et al. Jan 2016 A1
20160027673 Wang et al. Jan 2016 A1
20160035586 Purayath et al. Feb 2016 A1
20160035614 Purayath et al. Feb 2016 A1
20160042968 Purayath et al. Feb 2016 A1
20160056167 Wang et al. Feb 2016 A1
20160064233 Wang et al. Mar 2016 A1
20160079072 Wang et al. Mar 2016 A1
20160086807 Park et al. Mar 2016 A1
20160086808 Zhang et al. Mar 2016 A1
20160086815 Pandit et al. Mar 2016 A1
20160086816 Wang et al. Mar 2016 A1
20160093505 Chen et al. Mar 2016 A1
20160093506 Chen et al. Mar 2016 A1
20160104606 Park et al. Apr 2016 A1
20160118268 Ingle et al. Apr 2016 A1
20160148821 Singh et al. May 2016 A1
20160163512 Lubomirsky Jun 2016 A1
20160163513 Lubomirsky Jun 2016 A1
20160181112 Xue et al. Jun 2016 A1
20160189933 Kobayashi et al. Jun 2016 A1
20160204009 Nguyen et al. Jul 2016 A1
20160225651 Tran et al. Aug 2016 A1
20160225652 Tran et al. Aug 2016 A1
20160237570 Tan et al. Aug 2016 A1
20160240389 Zhang et al. Aug 2016 A1
20160240402 Park et al. Aug 2016 A1
20160260588 Park et al. Sep 2016 A1
20160260616 Li et al. Sep 2016 A1
20160260619 Zhang et al. Sep 2016 A1
20160284556 Ingle et al. Sep 2016 A1
Foreign Referenced Citations (113)
Number Date Country
1375575 Oct 2002 CN
1412861 Apr 2003 CN
101465386 Jun 2009 CN
0329406 Aug 1989 EP
0376252 Jul 1990 EP
0475567 Mar 1992 EP
0 496 543 Jul 1992 EP
0 658 928 Jun 1995 EP
0697467 Feb 1996 EP
0913498 May 1999 EP
1099776 May 2001 EP
1107288 Jun 2001 EP
1496542 Jan 2005 EP
1568797 Aug 2005 EP
1675160 Jun 2006 EP
2285174 Jun 1995 GB
S59-126778 Jul 1984 JP
61-276977 Dec 1986 JP
H01-200627 Aug 1989 JP
2058836 Feb 1990 JP
H02-114525 Apr 1990 JP
02-121330 May 1990 JP
02256235 Oct 1990 JP
4-239750 Jul 1992 JP
4-341568 Nov 1992 JP
07-130713 May 1995 JP
7-161703 Jun 1995 JP
H07-153739 Jun 1995 JP
7297543 Nov 1995 JP
H08-264510 Oct 1996 JP
08-306671 Nov 1996 JP
09153481 Jun 1997 JP
09-205140 Aug 1997 JP
10-178004 Jun 1998 JP
2010-154699 Jun 1998 JP
11124682 May 1999 JP
H11-204442 Jul 1999 JP
2000-012514 Jan 2000 JP
2001-308023 Nov 2001 JP
2002-100578 Apr 2002 JP
2002-141349 May 2002 JP
2002-222861 Aug 2002 JP
2003-019433 Jan 2003 JP
2003-059914 Feb 2003 JP
2003-174020 Jun 2003 JP
2003-179038 Jun 2003 JP
2003-217898 Jul 2003 JP
2003-318158 Nov 2003 JP
2003-347278 Dec 2003 JP
2004-047956 Feb 2004 JP
2004-156143 Jun 2004 JP
04-239723 Aug 2004 JP
2005-033023 Feb 2005 JP
2007-173383 Jul 2007 JP
08-148470 Jun 2008 JP
2009-044129 Feb 2009 JP
4763293 Aug 2011 JP
2013-243418 Dec 2013 JP
10-0155601 Dec 1998 KR
10-0236219 Dec 1999 KR
10-2000-008278 Feb 2000 KR
1020000008278 Feb 2000 KR
2000-0044928 Jul 2000 KR
2001-0014064 Feb 2001 KR
10-2001-0049274 Jun 2001 KR
10-2001-0058774 Jul 2001 KR
10-2001-0082109 Aug 2001 KR
10-2003-0054726 Jul 2003 KR
1020030081177 Oct 2003 KR
1020030096140 Dec 2003 KR
10-2004-0049739 Jun 2004 KR
10-2004-0096365 Nov 2004 KR
10-2005-0042701 May 2005 KR
1020050042701 May 2005 KR
10-0681390 Sep 2006 KR
10-2008-0013174 Feb 2008 KR
10-2008-0063988 Jul 2008 KR
1020080063988 Jul 2008 KR
10-2009-0080533 Jul 2009 KR
10-2009-0128913 Dec 2009 KR
10-2010-0013980 Feb 2010 KR
10-2010-0074508 Jul 2010 KR
10-2010-0075957 Jul 2010 KR
1020100083629 Jul 2010 KR
10-2010-0099535 Sep 2010 KR
10-2011-0086540 Jul 2011 KR
10-1050454 Jul 2011 KR
10-2011-0126675 Nov 2011 KR
1020110126675 Nov 2011 KR
10-2012-0082640 Jul 2012 KR
1020120082640 Jul 2012 KR
9220833 Nov 1992 WO
9926277 May 1999 WO
9954920 Oct 1999 WO
9962108 Dec 1999 WO
0013225 Mar 2000 WO
0022671 Apr 2000 WO
0194719 Dec 2001 WO
02083981 Oct 2002 WO
03014416 Feb 2003 WO
2004006303 Jan 2004 WO
2004074932 Sep 2004 WO
2004114366 Dec 2004 WO
2005036615 Apr 2005 WO
2006069085 Jun 2006 WO
2009071627 Jun 2009 WO
2009-084194 Jul 2009 WO
2010-010706 Jan 2010 WO
2011087580 Jul 2011 WO
2011115761 Sep 2011 WO
2011139435 Nov 2011 WO
2012018449 Feb 2012 WO
2012125654 Sep 2012 WO
Non-Patent Literature Citations (82)
Entry
Manual No. TQMA72E1. “Bayard-Alpert Pirani Gauge FRG-730: Short Operating Instructions” Mar. 2012. Agilent Technologies, Lexington, MA 02421, USA. pp. 1-45.
Derwent 2006-065772, Formation of multilayer enscapulating film over substrate, e.g. displace device, comprising delivering mixture precursors and hydrogen gas into substrate processing system, 2006.
Abe et al., “Developments of plasma etching technology for fabricating semiconductor devices,” Jpn. J. Appl. Phys., vol. 47, No. 3R, Mar. 2008, 21 pgs.
Cho et al., “Dielectric-barrier microdischarge structure for effic ient positive-column plasma using a thick-film ceramic sheet,” IEEE Trans. Plasma Sci., vol. 37, No. 8, Aug. 2009, 4 pgs.
Cho, T.S., “Dual Discharge Modes Operation of an Argon Plasma Generated by Commercial Electronic Ballast for Remote Plasma Removal Process,” IEEE Transactions on Plasma Science, vol. 42, No. 6, , Jun. 2014, 4 pages.
Cho et al., “Three-dimensional spatiotemporal behaviors of light emission from discharge plasma of alternating current plasma display panels,” Appl. Phys. Lett. , vol. 92, No. 22, Jun. 2008, 3pgs.
Cho et al., “Analysis of address discharge modes by using a three-dimensional plasma display panel,” IEEE Trans. Plasma Sci. , vol. 36, Oct. 2008, 4 pgs.
C.K. Hu, et al. “Reduced Electromigration of Cu Wires by Surface Coating” Applied Physics Letters, vol. 81, No. 10, Sep. 2, 2002—pp. 1782-1784.
European Search Report dated May 23, 2006 for EP Application No. 05251143.3.
European Examination Report dated Nov. 13, 2007 for Ep Application No. 05251143.3.
EP Partial Search Report, Application No. 08150111.601235/1944796, dated Aug. 22, 2008.
Eze, F. C., “Electroless deposition of CoO thin films,” J. Phys. D: Appl. Phys. 32 (1999), pp. 533-540.
Galiano et al. “Stress-Temperature Behavior of Oxide Films Used for Intermetal Dielectric Applications”, VMIC Conference, Jun. 9-10, 1992, pp. 100-106.
Goebels, F.J. et al. “Arbitrary Polarization from Annular Slot Planar Antennas.” Ire Transactions on Antennas and Propagation, Jul. 1961, 8 pgs.
Iijima, et al., “Highly Selective SiO2 Etch Employing Inductively Coupled Hydro-Fluorocarbon Plasma Chemistry for Self Aligned Contact Etch”, Jpn. J. Appl. Phys., Sep. 1997, pp. 5498-5501, vol. 36, Part 1, No. 9A.
International Search Report of PCT/US2009/059743 mailed on Apr. 26, 2010, 4 pages.
International Search Report of PCT/US2012/061726 mailed on May 16, 2013, 3 pages.
International Search Report of PCT/2013/052039 mailed on Nov. 8, 2013, 9 pages.
International Search Report of PCT/2013/037202 mailed on Aug. 23, 2013, 11 pages.
Kim et al., “Pendulum electrons in micro hollow cathode di scharges,” IEEE Trans. Plasma Sci. , vol. 36, No. 4, pp. Aug. 2008, 2 pgs.
Lin, et al., “Manufacturing of Cu Electroless Nickel/Sn—Pb Flip Chip Solder Bumps”, IEEE Transactions on Advanced Packaging, vol. 22, No. 4 (Nov. 1999), pp. 575-579.
Lopatin, et al., “Thin Electroless barrier for copper films”, Part of the SPIE Conference of Multilevel Interconnect technology II, SPIE vol. 3508 (1998), pp. 65-77.
Musaka, “Single Step Gap Filling Technology fo Subhalf Micron Metal Spacings on Plasma Enhanced TEOS/O2 Chemical Vapor Deposition System,” Extended Abstracts of the 1993 International Conference on Solid State Devices and Materials pages, 1993, 510-512.
Pearlstein, Fred. “Electroless Plating,” J. Res. Natl. Bur. Stan., Ch. 31 (1974), pp. 710-747.
Redolfi et al., “Bulk FinFET fabrication with new approaches for oxide topography control using dry removal techniques,” Solid-State Electron., vol. 71, May 2012, 7 pgs.
Saito, et al., “Electroless deposition of Ni—B, Co—B and Ni—Co—B alloys using dimethylamineborane as a reducing agent,” Journal of Applied Electrochemistry 28 (1998), pp. 559-563.
Schacham-Diamond, et al., “Electrochemically deposited thin film alloys for ULSI and MEMS applications,” Microelectronic Engineering 50 (2000), pp. 525-531.
Schacham-Diamond, et al. “Material properties of electroless 100-200 nm thick CoWP films,” Electrochemical Society Proceedings, vol. 99-34, pp. 102-110.
Schoenbach et al.,“High-pressure hollow cathode di scharges,” Plasma Sources Sci. Te chnol.,vol. 6, No. 4, Nov. 1997, 10 pgs.
Smayling, et al., “APF® Pitch-Halving for 2nm Logic Cells using Gridded Design Rules”, proceedings of the SPIE, 2008, 8 pages.
Vassiliev, et al., “Trends in void-free pre-metal CVD dielectrics,” Solid State Technology, Mar. 2001, pp. 129-136.
Weston, et al., “Ammonium Compounds,” Kirk-Othmer Encyclopedia of Chemical Technology, 2003,30 pages see pp. 717-718, John Wiley & Sons, Inc.
Yasaka, Y. et al. “Planar microwave discharges with active control of plasma uniformity”. Physics of Plasmas, vol. 9 No. 3, Mar. 2002, 7 pgs.
Yosi Shacham-Diamond, et al. “High Aspect Ratio Quarter-Micron Electroless Copper Integrated Technology”, Microelectronic Engineering 37/38 (1997) pp. 77-88.
Abraham, “Reactive Facet Tapering of Plasma Oxide for Multilevel Interconnect Applications”, IEEE, V-MIC Conference, Jun. 15-16, 1987, pp. 115-121.
Applied Materials, Inc., “Applied Siconi™ Preclean,” printed on Aug. 7, 2009, 8 pages.
Carlson, et al., “A Negative Spacer Lithography Process for Sub-100nm Contact Holes and Vias”, University of California at Berkeley, Jun. 19, 2007, 4 pp.
Chang et al. “Frequency Effects and Properties of Plasma Deposited Fluorinated Silicon Nitride”, J. Vac Sci Technol B 6(2), Mar./Apr. 1988, pp. 524-532.
Cheng, et al., “New Test Structure to Identify Step Coverage Mechanisms in Chemical Vapor Deposition of Silicon Dioxide,” Appl. Phys. Lett., 58 (19), May 13, 1991, p. 2147-2149.
Examination Report dated Jun. 28, 2010 for European Patent Application No. 05251143.3. I.
Fukada et al., “Preparation of SiOF Films with Low Dielectric Constant by ECR Plasma CVD,” ISMIC, DUMIC Conference, Feb. 21-22, 1995, pp. 43-49.
Hashim et al., “Characterization of thin oxide removal by RTA Treatment,” ICSE 1998 Proc. Nov. 1998, Rangi, Malaysia, pp. 213-216.
Hausmann, et al., “Rapid Vapor Deposition of Highly Conformal Silica Nanolaminates,” Science, Oct. 11, 2002, p. 402-406, vol. 298.
Hayasaka, N. et al. “High Quality Low Dielectric Constant SiO2 CVD Using High Density Plasma,” Proceedings of the Dry Process Symposium, 1993, pp. 163-168.
Hwang et al., “Smallest Bit-Line Contact of 76nm pitch on NAND Flash Cell by using Reversal PR (Photo Resist) and SADP (Self-Align Double Patterning) Process,” IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2007, 3 pages.
International Search Report and Written Opinion of the International Searching Authority mailed Jul. 3, 2008 (PCT/US05/46226).
International Search Report and Written Opinion for PCT Application No. PCT/US2011/027221, mailed on Nov. 1, 2011, 8 pages.
International Search Report and Written Opinion of PCT/US2010/057676 mailed on Jun. 27, 2011, 9 pages.
International Search Report and Written Opinion of PCT/US2011/030582 mailed Dec. 7, 2011, 9 pages.
International Search Report and Written Opinion of PCT/US2011/064724 mailed on Oct. 12, 2012, 8 pages.
International Search Report and Written Opinion of PCT/US2012/028952 mailed on Oct. 29, 2012, 9 pages.
International Search Report and Written Opinion of PCT/US2012/048842 mailed on Nov. 28, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2012/053329 mailed on Feb. 15, 2013, 8 pages.
International Search Report and Written Opinion of PCT/US2012/057294 mailed on Mar. 18, 2013, 12 pages.
International Search Report and Written Opinion of PCT/US2012/057358 mailed on Mar. 25, 2013, 10 pages.
International Search Report and Written Opinion of PCT/US2012/058818 mailed on Apr. 1, 2013, 9 pages.
International Search Report and Written Opinion of the International Searching Authority for PCT Application No. PCT/US2012/028957, mailed on Oct. 18, 2012, 9 pages.
International Search report and Written Opinion of PCT/CN2010/000932 dated Mar. 31, 2011, 8 pages.
Japanese Patent Office, Official Action for Application No. 2007-317207 mailed on Dec. 21, 2011, 2 pages.
International Search Report and Written Opinion of PCT/US2013/076217 mailed on Apr. 28, 2014, 11 pages.
Jung, et al., “Patterning with amorphous carbon spacer for expanding the resolution limit of current lithography tool”, Proc. SPIE , 2007, 9 pages, vol. 6520, 65201C.
Laxman, “Low ε Dielectrics: CVD Fluorinated Silicon Dioxides”, Semiconductor International, May 1995, pp. 71-74.
Lee, et al., “Dielectric Planarization Techniques for Narrow Pitch Multilevel Interconnects,” IEEE, V-MIC Conference Jun. 15-16, 1987, pp. 85-92 (1987).
Matsuda, et al. “Dual Frequency Plasma CVD Fluorosilicate Glass Deposition for 0.25 um Interlevel Dielectrics”, ISMIC, DUMIC Conference Feb. 21-22, 1995, pp. 22-28.
Meeks, Ellen et al., “Modeling of SiO2 deposition in high density plasma reactors and comparisons of model predictions with experimental measurements,” J. Vac. Sci. Technol. A, Mar./Apr. 1998, pp. 544-563, vol. 16(2).
Mukai, et al., “A Study of CD Budget in Spacer Patterning Process”, Toshiba, SPIE 2008, Feb. 26, 2008, 12 pages.
Nishino, et al.; Damage-Free Selective Etching of SI Native Oxides Using NH3/NF3 and SF6/H20 Down-Flow Etching, The Japanese Society of Applied Physics, vol. 74, No. 2, pp. 1345-1348, XP-002491959, Jul. 15, 1993.
Ogawa, et al., “Dry Cleaning Technology for Removal of Silicon Native Oxide Employing Hot NH3/NF3 Exposure”, Japanese Journal of Applied Physics, pp. 5349-5358, Aug. 2002, vol. 41 Part 1, No. 8.
Ota, et al., “Stress Controlled Shallow Trench Isolation Technology to Suppress the Novel Anti-Isotropic Impurity Diffusion for 45nm-Node High Performance CMOSFETs,” Symposium on VLSI Technology Digest of Technical Papers, 2005, pp. 138-139.
Qian, et al., “High Density Plasma Deposition and Deep Submicron Gap Fill with Low Dielectric Constant SiOF Films,” ISMIC, DUMIC Conference Feb. 21-22, 1995, 1995, pp. 50-56.
Robles, et al. “Effects of RF Frequency and Deposition Rates on the Moisture Resistance of PECVD TEOS-Based Oxide Films”, ECS Extended Abstracts, Abstract No. 129, May 1992, pp. 215-216, vol. 92-1.
Shapiro, et al. “Dual Frequency Plasma CVD Fluorosilicate Glass: Water Absorption and Stability”, ISMIC, DUMIC Conference Feb. 21-22, 1995, 1995. pp. 118-123.
S.M. Sze, VLSI Technology, McGraw-Hill Book Company, pp. 107, 108.
C.C. Tang and D. W. Hess, Tungsten Etching in CF4 and SF6 Discharges, J. Electrochem. Soc., 1984, 131 (1984) p. 115-120.
Usami, et al., “Low Dielectric Constant Interlayer Using Fluorine-Doped Silicon Oxide”, Jpn. J. Appl. Phys., Jan. 19, 1994. pp. 408-412, vol. 33 Part 1, No. 1B.
Wang et al.; Ultra High-selectivity silicon nitride etch process using an inductively coupled plasma source; J. Vac. Sci. Techno!. A 16(3),May/Jun. 1998, pp. 1582-1587.
Wolf et al.; Silicon Processing for the VLSI Era; vol. 1; 1986; Lattice Press, pp. 546, 547, 618, 619.
Yang, R., “Advanced in situ pre-Ni silicide (Siconi) cleaning at 65 nm to resolve defects in NiSix modules,” J. Vac. Sci., Technol. B, Microelectron. Nanometer Struct., vol. 28, No. 1, Jan. 2010, 6 pgs.
Yasuda et al., “Dual-function remote plasma etching/cleaning system applied to selective etching of Si02 and removal of polymeric residues,” J. Vac. Sci. Technol., A, vol. 11, No. 5, 1993, 12 pgs.
Yu, et al., “Step Coverage Study of Peteos Deposition for Intermetal Dielectric Applications,” abstract, VMIC conference, Jun. 12-13, 1990, 7 pages, No. 82.
Yutaka, et al., “Selective Etching of Silicon Native Oxide with Remote-Plasma-Excited Anhydrous Hydrogen Fluoride,” Japanese Journal of Applied Physics, 1998, vol. 37, pp. L536-L538.
H. Xiao, Introduction to Semiconductor Manufacturing Technology, published by Prentice Hall, 2001, ISBN 0-13-022404-9, pp. 354-356.
Related Publications (1)
Number Date Country
20150126039 A1 May 2015 US
Provisional Applications (1)
Number Date Country
61899798 Nov 2013 US