Formation of vertical devices by electroplating

Information

  • Patent Grant
  • 8247905
  • Patent Number
    8,247,905
  • Date Filed
    Monday, August 10, 2009
    15 years ago
  • Date Issued
    Tuesday, August 21, 2012
    12 years ago
Abstract
The present invention is related to a method for forming vertical conductive structures by electroplating. Specifically, a template structure is first formed, which includes a substrate, a discrete metal contact pad located on the substrate surface, an inter-level dielectric (ILD) layer over both the discrete metal contact pad and the substrate, and a metal via structure extending through the ILD layer onto the discrete metal contact pad. Next, a vertical via is formed in the template structure, which extends through the ILD layer onto the discrete metal contact pad. A vertical conductive structure is then formed in the vertical via by electroplating, which is conducted by applying an electroplating current to the discrete metal contact pad through the metal via structure. Preferably, the template structure comprises multiple discrete metal contact pads, multiple metal via structures, and multiple vertical vias for formation of multiple vertical conductive structures.
Description
BACKGROUND

The present invention relates to formation of vertical devices by electroplating, and more specifically, to formation of vertical structures using electroplating techniques.


Electroplating, which is also referred to as electrodeposition, has been widely used for metallization in semiconductor manufacturing. Electroplating is particularly useful for forming deep metal vias that extend through the inter-level dielectric (ILD) layers to connect the metal contacts in different metal levels.


One of the conventional electroplating methods commonly used for copper metallization in semiconductor manufacturing processes is referred to as the damascene or superfilling method, as disclosed in U.S. Pat. No. 6,709,562 entitled “METHOD OF MAKING ELECTROPLATED INTERCONNECTION STRUCTURES ON INTEGRATED CIRCUIT CHIPS” and illustrated herein by FIGS. 1A-1C. First, a template structure is formed, which comprises a substrate 100 and an inter-level dielectric (ILD) layer 101, as shown in FIG. 1A. The substrate 100 may be formed of either an insulator or a semiconductor with little or practically no conductivity. The ILD layer 101 contains deep vias 102 therein, which can be readily formed by well-known photolithography and etching techniques. Next, a continuous metal seed layer 103 is deposited over the entire template structure, as shown in FIG. 1B. The metal seed layer 103 covers both the top surface of the ILD layer 101 and the sidewalls and bottom surfaces of the deep vias 102. The metal seed layer 103 comprises one or more contacts (not shown), through which an electroplating current can be applied to the metal seed layer 103. Electroplating of the template structure is then carried out by using a special electroplating chemistry, which preferentially deposits metal 104 into the deep vias 102 of the ILD layer 101 (i.e., the metal 104 is deposited into the deep vias 102 at a rate that is significantly faster than on the top surface of the ILD layer 101) to form metal wire structures free of voids, as shown in FIG. 1C.


The above-described superfilling method has advantages in filling vias with a single element or a homogeneous alloy of two or more different element. However, the superfilling method cannot be used to form a column with modulated compositions along a longitudinal axis thereof, due to several reasons. First, the electroplating step in this method proceeds simultaneously on the bottom surface and over the sidewalls of the deep vias 102. Therefore, the superfilling method can only be used to form compositionally homogeneous structures (i.e., structures comprising the same metal or metal alloy throughout the entire structures), but not compositionally modulated structures (i.e., structures comprising alternating layers of different material compositions along the longitudinal direction). Further, the superfilling method requires a special electroplating chemistry, which contains numerous different additives, each of which exerts a different effect on the metal plating rate to jointly achieve the preferential metal deposition. Therefore, the superfilling method has so far only been used for plating of a single metal, such as copper, but not for plating of metal alloys or alternating layers of different metals, due to uncertainties related to how the different additives in the special electroplating chemistry will affect the plating rates of different metals.


Another conventional electroplating method, which is commonly used for forming metal wire structures, is referred to as the plating through mask method and is illustrated by FIGS. 2A-2B. In this method, a continuous metal seed layer 203 is firstly deposited over a surface of the substrate 200, followed by the deposition of an inter-level layer 201 of photo-resist, dielectrics, or doped semiconductors with relatively low conductivity over the metal seed layer 203. Next, deep vias 202 are formed in the inter-level layer 201 by photolithography and etching, as shown in FIG. 2A. During subsequent electroplating, an electroplating current is applied to the metal seed layer 203 to deposit a metal 204 over the bottom surfaces of the deep vias 202 and gradually fill up the deep vias 202 to form vertical metal wire structures, as shown in FIG. 2B.


The plating through mask method is a bottom-up filling process, which can be used for forming not only metal wire structures that comprise a single metal, but also those comprising metal alloys. Further, it can be used to form compositionally modulated structures comprising alternating layers of different material compositions along longitudinal axes of the structures.


However, a major disadvantage of the traditional plating through mask or bottom-up plating process is the requirement for the continuous metal seed layer 203. Because the metal seed layer 203 is continuous over the entire surface of the substrate 200 and connects all the metal wire structures, such metal wires cannot function independently of one another and therefore cannot be used to form separate electronic devices, unless the metal seed layer 203 is selectively removed. However, because the metal seed layer 203 is sandwiched between the inter-level layer 201 and the substrate 200, it is almost impossible to remove it without disrupting or damaging the inter-level layer 201 and the substrate 200.


There is a continuing need for an improved method for forming vertical device structures. More importantly, there is a need for an improved method for forming separate vertical device structures that comprise alloys or alternating layers of different conductive materials.


BRIEF SUMMARY

The present invention employs discrete metal contact pads and metal vias for applying the electroplating current during the electroplating step. Such discrete metal contact pads and metal vias remain parts of the final devices and therefore allow the formation of vertical conductive structures that contain alloys of different conductive materials or alternating layers of different conductive materials, but without connecting all the vertical conductive structures together or otherwise affecting the independent functionality of each vertical conductive structures. In this manner, the resulting vertical conductive structures can be readily used to form separate electronic devices.


In one aspect, the present invention relates to a method comprising:


forming a template structure comprising a substrate, a discrete metal contact pad located over a top surface of the substrate, an inter-level dielectric (ILD) layer covering both the substrate and the metal contact pad, and a metal via structure extending through the ILD layer(s) to the discrete metal contact pad;


forming a vertical via in the template structure, wherein the vertical via extends through the ILD layer onto the discrete metal contact pad; and


forming a vertical conductive structure in the vertical via by electroplating,


wherein the electroplating is conducted by applying an electroplating current to the discrete metal contact pad under the ILD layer through the metallic via structure.


Preferably, but not necessarily, the vertical conductive structure comprises one or more ferromagnetic metals. More preferably, the vertical conductive structure comprises alternating layers of different ferromagnetic metals. Alternatively, the vertical conductive structure may comprise conductive polymers or doped semiconductor materials.


The template structure as described hereinabove may comprise a single metal contact pad on the upper surface of the substrate, with a single metal via structure and a single vertical via extending thereto for formation of a single vertical conductive structure. More preferably, the template structure of the present invention comprises multiple discrete metal contact pads located on the upper surface of the substrate and having multiple metal via structures and multiple vertical vias extending thereto. In this manner, multiple vertical conductive structures can be subsequently formed by electroplating in a single wafer or chip, while the resulted vertical conductive structures are not interconnected with one another.


In a specific embodiment of the present invention, the template structure further comprises a patterned metal layer that is located on an upper surface of the ILD layer and is electrically connected to all of the metal via structures, so that the electroplating can be carried out by applying an electroplating current to the multiple discrete metal contact pads through the patterned metal layer and the multiple metal via structures.


The patterned metal layer is preferably formed before the vertical vias. More preferably, it is formed by first depositing a blanket metal layer over the ILD layer and then patterning the blanket metal layer to form multiple openings that each is vertically aligned with one of the multiple discrete metal contact pads.


Further, an insulating layer can be formed over the patterned metal layer before formation of the multiple vertical vias, so that the subsequently formed vertical vias extend through both the insulating layer and the ILD layer. More preferably, the patterned metal layer is completely covered by the insulating layer, except in the edge region of the processing unit, i.e., wafer or substrate, so that the electroplating current can be carried through the edge region to the patterned metal layer during subsequent electroplating. After electroplating, both the patterned metal layer and the insulating layer are removed from the upper surface of the ILD layer, and multiple surface metal contacts can be formed thereover to provide access to the multiple vertical conductive structures.


In another aspect, the present invention relates to a device structure that comprises a substrate, a metal contact pad located over a top surface of the substrate, an inter-level dielectric (ILD) layer covering both the substrate and the metal contact pad, a metal via structure extending through the ILD layer to the discrete metal contact pad, and a vertical conductive structure extends through the ILD layer onto the discrete metal contact pad.


Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.





BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS


FIGS. 1A-1C are cross-sectional views that illustrate the processing steps of a conventional superfilling process for forming deep metal vias.



FIG. 2A-2B are cross-sectional views that illustrate the processing steps of a conventional plating through mask process for forming deep metal vias.



FIG. 3 is a cross-sectional view of two electronic devices, each comprising a functional unit, two auxiliary units, a metal contact pad at each end of the functional unit, and a metal via structure extending through the ILD layer to the metal contact pad that is located over a non-conductive substrate, according to one embodiment of the present invention. The metal via structures together with the metal contact pads provide access to the functional units.



FIGS. 4-10 are cross-sectional views that illustrate exemplary processing steps for forming the device structure of FIG. 3, according to one embodiment of the present invention.





DETAILED DESCRIPTION

In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide a thorough understanding of the present invention. However, it will be appreciated by one skilled in the art that the invention may be practiced without these specific details or by substituting certain details with known equivalents thereof, without departing from the spirit of the invention. Further, standard structures or processing steps well known to those ordinarily skilled in the art have not been described in detail in order to avoid obscuring the invention.


It will be understood that when an element as a layer, region or substrate is referred to as being “on” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present.


The term “vertical” as used herein refers to a structure or device that is located over a substrate surface, and the longitudinal axis of such a structure or device is perpendicular to the substrate surface.


The term “metal contact” or “metal contacts” as used herein refers to metal structures of limited lateral extension. Unlike a continuous metal layer that covers the entire substrate surface or a majority portion thereof, the metal contact or metal contacts only extend(s) over a selected region or selected regions of the substrate surface upon which subsequent device structures are to be built. The metal contact or metal contacts can be formed by patterning a continuous metal layer.


The term “discrete” as used herein refers to structures that are isolated from each other with no overlap therebetween.


The term “ferromagnetic material” as used herein refers to any material that can be magnetized by applying an external magnetic field and exhibits remnant magnetization after the external magnetic field is removed.


As mentioned hereinabove, the present invention uses discrete metal contact pads and metal via structures, which are the existing elements of an integrated circuit, to apply electroplating current during electroplating for forming vertical conductive structures. The resulting vertical conductive structures preferably, but not necessarily, contain alloys of different conductive materials (i.e., metals, conductive polymers, or doped semiconductors) or alternating layers of different conductive materials. The present invention is particularly useful for forming ferromagnetic structures that comprise alternating ferromagnetic layers of different material compositions, as described U.S. Pat. No. 7,539,051 entitled “MEMORY STORAGE DEVICES COMPRISING DIFFERENT FERROMAGNETIC MATERIAL LAYERS, AND METHODS OF MAKING AND USING THE SAME” and U.S. Pat. No. 7,736,753 entitled “FORMATION OF NANOSTRUCTURES COMPRISING COMPOSITIONALLY MODULATED FERROMAGNETIC LAYERS BY PULSED ECD”. However, applications of the present invention are not limited to ferromagnetic structures, but extend broadly to cover any device structure that requires vertical conductive structures.



FIG. 3 shows a cross-sectional view of a device structure containing two general electronic devices with vertical conductive structures 305. Each of the electronic devices may include: (1) a vertical functional unit, i.e., the vertical conductive structure 305, (2) conductive contacts 302 and 304 at both ends of the functional unit 305, (3) a metal via 303 that extends onto the bottom conductive contact 302 to provide access to the bottom conductive contact 302, and (4) certain auxiliary elements and associated circuits 306. The auxiliary elements and circuits 306 can be either reading and writing elements or other sensing and controlling elements for the functional unit 305.


The device structure as described hereinabove is formed over a non-conductive substrate 300 with an ILD layer 301 located thereover. The non-conductive substrate 300 may comprise any suitable non-conductive material, and it preferably comprises a ceramic, dielectric, glass or polymer material, including, but not limited to: Al2O3, SiO2, Si3N4, and HfO2. Further, the non-conductive substrate 300 may comprise an un-doped or lowly doped semiconductor material, including, but not limited to: Si, SiC, SiGe, SiGeC, Ge alloys, GaAs, InAs, InP, as well as other III-V or II-VI compound semiconductors. The ILD layer 301 may be formed of any suitable photoresist or dielectric material, such as, for example, SiO2, Si3N4, HfO2, and Al2O3.


The device structure of FIG. 3 can be formed by exemplary processing steps as illustrated by FIGS. 4-10, according to one embodiment of the present invention.



FIG. 4 shows a template structure that comprises the non-conductive substrate 300, the ILD layer 301, the bottom metal contacts 302, the metal vias 303, and the auxiliary elements 306 (optional). Such a template structure can be readily formed by conventional semiconductor processing and metallization techniques, which are not described here in order to avoid obscuring the present invention.


Next, as shown in FIG. 5, a patterned metal layer 404 is deposited over a top surface of the ILD layer 301. The patterned metal layer 404 forms an electrical contact with all the metal vias 303, and through such metal vias 303, the patterned metal layer 404 forms electrical contact with all the bottom metal contacts 302. The patterned metal layer 404 can be readily formed by first depositing a blanket metal layer (not shown) over the entire top surface of the ILD layer 301, followed by patterning the blanket metal layer (not shown) to form multiple openings 405. Each of the openings 405 in the patterned metal layer 404 is vertically aligned with one of the bottom metal contacts 302, thereby defining the locations where the vertical conductive structures 305 are to be formed. Patterning of the blanket metal layer (not shown) can be readily carried out using any conventional metal patterning techniques, such as lithography, etch back, lift-off, etc.


As shown in FIG. 6, a layer of insulating material 406 is then deposited over the patterned metal layer 404 to cover the entire layer 404 except at the edge region 407. The insulating material layer 406 can be formed of the same insulating material as the ILD layer 301, but generally it is formed of a different insulating material. In the semiconductor manufacturing process, numerous devices are fabricated simultaneously on the same wafer. Therefore, the edge region 407 denotes the edge region of the wafer. In this manner, the patterned metal layer 404 can still be accessed at the edge region 407 after formation of the insulating material layer 406, which the patterned metal layer 404 in turn provides access to the bottom metal contacts 302 through the metal vias 303.


Subsequently, as shown in FIG. 7, a patterning process (preferably an etching process, such as reactive ion etching) is carried out to form vertical vias (or deep vias) 408 through the insulating layer 406 and the ILD layer 301. The dimension and shape of the vertical vias 408 define the dimension and shape of the vertical conductive structures 305 to be formed. The vertical vias 408 can be of any size or shape, depending on the requirements for the final devices and the limitations of the fabrication process. Preferably, each of the vertical vias 408 so formed has a depth ranging from about 1 micron to about 1000 microns and a cross-sectional diameter ranging from about 10 nm to about 1000 nm. The vertical vias 408 can have any suitable cross-sectional shape, including, but not limited to: circular, square, rectangular, triangular, polygonal, semi-circular, elliptical, ring-shaped, etc. Depending on whether the insulating layer 406 and the ILD layer 301 comprise the same or different insulating material(s), the etching process may comprise either a single etching step or multiple etching steps.


After the etching, electroplating is carried out by first immersing the above-described structure in an electroplating solution and then applying an electroplating current to the patterned metal layer 404 by contacting the edge region 407. In this manner, the electroplating current is carried through the patterned metal layer 404 and the metal vias 303 to the discrete metal contact pads 302 at the bottom surface of the ILD layer 301, so that a conductive material 305 is deposited onto the discrete metal contact pads 302 and gradually fills the vertical vias 408 in a bottom-up manner. The electroplating solution as described hereinabove may comprise a single salt for deposition of a single elemental, or salts of different elements for deposition of an alloy. The resulted structure 305 can comprise any suitable conductive materials, such as metals, doped semiconductors, conductive polymers, and alloys thereof, but it preferentially comprises a metal or metal alloy. Electrodeposition of metals, doped semiconductors, and conductive polymers is well known in the art and is therefore not described in detail herein.


Preferably, but not necessarily, the electroplating solution comprises salts of two or more different ferromagnetic metals for deposition of a ferromagnetic metal alloy. More preferably, the electroplating can be carried out by applying a pulsed electroplating current with high and low potential pulses for deposition of alternating ferromagnetic layers of different material compositions, as described in U.S. Pat. No. 7,736,753 entitled “FORMATION OF NANOSTRUCTURES COMPRISING COMPOSITIONALLY MODULATED FERROMAGNETIC LAYERS BY PULSED ECD”.


The electroplating step is allowed to proceed until the vertical vias 408 are completely filled with the metal to form vertical conductive structures 305, as shown in FIG. 8. The dimension and shape of the vertical conductive structures 305 are defined by the vertical vias 408. Therefore, the vertical conductive structures 305 may also have a depth ranging from about 1 micron to about 1000 microns and a cross-sectional diameter ranging from about 10 nm to about 1000 nm, and they may also have any suitable cross-sectional shape, including, but not limited to: circular, square, rectangular, triangular, polygonal, semi-circular, elliptical, ring-shaped, etc.


After the electroplating, multiple etching and/or polishing steps can be carried out to remove the overgrown portions of the vertical conductive structures 305, the insulating layer 406, and the patterned metal layer 404, and to planarize the again-exposed upper surface of the ILD layer 301 with the metal via 303 and the newly formed vertical conductive structure 305, as shown in FIG. 9.


Next, a patterned insulating layer 410 is deposited over the exposed upper surface of the ILD layer 301, as shown in FIG. 10. The patterned insulating layer 410 may comprise either the same or different material from that of the ILD layer 301, and it contains multiple openings 411, through which the vertical conductive structures 305 and the metal vias 303 are exposed. In this manner, surface metal contacts 304 can be formed in the openings 411 to provide access to the vertical conductive structures 305 and the metal vias 303, thereby forming a complete device structure as shown in FIG. 3.


Note that while FIGS. 3-10 illustratively demonstrate exemplary device structures and processing steps according to specific embodiments of the present invention, it is clear that a person ordinarily skilled in the art can readily modify such device structures and processing steps for adaptation to specific application requirements, consistent with the above descriptions. For example, although the exemplary device structures as shown in FIGS. 3-10 each contain a single ILD layer, two discrete metal contact pads and two vertical conductive structures, it is readily understood that the device structure of the present invention may comprise any numbers of ILD layers, discrete metal contact pads, and vertical conductive structures. Further, the device substrates of the present invention can be readily used for forming any semiconductor devices that require vertical conductive structures with at least one bottom metal contacts.


While the invention has been described herein with reference to specific embodiments, features and aspects, it will be recognized that the invention is not thus limited, but rather extends in utility to other modifications, variations, applications, and embodiments, and accordingly all such other modifications, variations, applications, and embodiments are to be regarded as being within the spirit and scope of the invention.

Claims
  • 1. A device structure comprising a substrate, a discrete and patterned bottom metal contact pad located directly on selected regions of a top surface of said substrate, an inter-level dielectric (ILD) layer covering both the substrate and the discrete and patterned bottom metal contact pad, a metal via structure extending through the ILD layer onto a portion of an upper surface of the discrete and patterned bottom metal contact pad, a vertical conductive structure extending through the ILD layer onto another portion of the upper surface of the discrete and patterned bottom metal contact pad, a first top metal contact pad located on an upper surface of said vertical conductive structure, and a second top metal contact pad located on an upper surface of said metal via structure, wherein said first and second metal contact pads each have an upper surface that is coplanar with an upper surface of the ILD layer, wherein the metal via structure is a compositionally homogeneous structure and the vertical conductive structure comprises one or more ferromagnetic materials.
  • 2. The device structure of claim 1, comprising multiple discrete and patterned bottom metal contact pads, each of which is located directly on selected regions of the upper surface of the substrate and each has a metal via, a vertical conductive structure extending thereto, a first top metal contact pad located on an upper surface of said vertical conductive structure, and a second top metal contact pad located on an upper surface of said metal via structure, wherein said first and second metal contact pads each have an upper surface that is coplanar with an upper surface of the ILD layer.
  • 3. The device structure of claim 1, wherein said substrate is a non-conductive substrate and is selected from a ceramic, a dielectric, glass or a polymeric material.
  • 4. The device structure of claim 1, wherein said substrate is Al2O3, SiO2, Si3N4 and HfO2.
  • 5. The device structure of claim 1, wherein said substrate is a non-conductive substrate and is a semiconductor material.
  • 6. The device structure of claim 1, wherein said vertical conductive structure has a cross-sectional shape selected from circular, square, rectangular, triangular, polygonal, semi-circular, elliptical and ring-shaped.
  • 7. The device structure of claim 1, wherein said ILD layer is in direct contact with an upper surface of said semiconductor substrate in areas not including said discrete and patterned bottom metal contact pad.
  • 8. The device structure of claim 2, wherein each of the vertical conductive structures is not connected together.
  • 9. The device structure of claim 7, wherein said ILD layer is in direct contact with sidewalls of said discrete and patterned bottom metal contact pad.
  • 10. A device structure comprising a substrate, a discrete and patterned bottom metal contact pad located directly on selected regions of a top surface of said substrate, an inter-level dielectric (ILD) layer covering both the substrate and the discrete and patterned bottom metal contact pad, a metal via structure extending through the ILD layer onto a portion of an upper surface of the discrete and patterned bottom metal contact pad, a vertical conductive structure extending through the ILD layer onto another portion of the upper surface of the discrete and patterned bottom metal contact pad, a first top metal contact pad located on an upper surface of said vertical conductive structure, and a second top metal contact pad located on an upper surface of said metal via structure, wherein said first and second metal contact pads each have an upper surface that is coplanar with an upper surface of the ILD layer, wherein the metal via structure is a compositionally homogeneous structure and the vertical conductive structure comprises alternating layers of different ferromagnetic metals.
  • 11. The device structure of claim 10, wherein said ILD layer is in direct contact with an upper surface of said semiconductor substrate in areas not including said discrete and patterned bottom metal contact pad.
  • 12. The device structure of claim 11, wherein said ILD layer is in direct contact with sidewalls of said discrete and patterned bottom metal contact pad.
  • 13. A device structure comprising a substrate, a discrete and patterned bottom metal contact pad located directly on selected regions of a top surface of said substrate, an inter-level dielectric (ILD) layer covering both the substrate and the discrete and patterned bottom metal contact pad, a metal via structure extending through the ILD layer onto a portion of an upper surface of the discrete and patterned bottom metal contact pad, a vertical conductive structure extending through the ILD layer onto another portion of the upper surface of the discrete and patterned bottom metal contact pad, a first top metal contact pad located on an upper surface of said vertical conductive structure, and a second top metal contact pad located on an upper surface of said metal via structure, wherein said first and second metal contact pads each have an upper surface that is coplanar with an upper surface of the ILD layer, wherein the metal via structure is a compositionally homogeneous structure and the vertical conductive structure comprises one ferromagnetic material continuously from the upper surface of the vertical conductive structure to a base surface of the vertical conductive structure.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional of U.S. patent application Ser. No. 11/620,497, filed Jan. 5, 2007, now U.S. Pat. No. 7,608,538. The present application is related to U.S. Pat. No. 7,539,051 entitled “MEMORY STORAGE DEVICES COMPRISING DIFFERENT FERROMAGNETIC MATERIAL LAYERS, AND METHODS OF MAKING AND USING THE SAME” and U.S. Pat. No. 7,736,753 entitled “FORMATION OF NANOSTRUCTURES COMPRISING COMPOSITIONALLY MODULATED FERROMAGNETIC LAYERS BY PULSED ECD” which are assigned to the same assignee as the present application. The entire contents of the aforementioned U.S. patents are incorporated herewith by reference for all purposes.

US Referenced Citations (156)
Number Name Date Kind
3791858 McPherson et al. Feb 1974 A
3996551 Croson Dec 1976 A
4933743 Thomas et al. Jun 1990 A
4962058 Cronin et al. Oct 1990 A
5034799 Tomita et al. Jul 1991 A
5149615 Chakravorty et al. Sep 1992 A
5173442 Carey Dec 1992 A
5191174 Chang Mar 1993 A
5254493 Kumar Oct 1993 A
5284801 Page et al. Feb 1994 A
5329695 Traskos et al. Jul 1994 A
5386627 Booth et al. Feb 1995 A
5413962 Lur et al. May 1995 A
5426849 Kimbara et al. Jun 1995 A
5427979 Chang Jun 1995 A
5440805 Daigle et al. Aug 1995 A
5508938 Wheeler Apr 1996 A
5539247 Cheung et al. Jul 1996 A
5554873 Erdeljac et al. Sep 1996 A
5563762 Leung et al. Oct 1996 A
5576240 Radosevich et al. Nov 1996 A
5674787 Zhao et al. Oct 1997 A
5675187 Numata et al. Oct 1997 A
5753539 Okazaki May 1998 A
5792706 Michael et al. Aug 1998 A
5798559 Bothra et al. Aug 1998 A
5841193 Eichelberger Nov 1998 A
5874770 Saia et al. Feb 1999 A
5882963 Kerber et al. Mar 1999 A
5893727 Lau et al. Apr 1999 A
5900668 Wollesen May 1999 A
6008083 Brabazon et al. Dec 1999 A
6037248 Ahn Mar 2000 A
6066557 Lukanc et al. May 2000 A
6081021 Gambino et al. Jun 2000 A
6081032 Wu Jun 2000 A
6110772 Takada et al. Aug 2000 A
6121073 Huang et al. Sep 2000 A
6124198 Moslehi Sep 2000 A
6180976 Roy Jan 2001 B1
6188125 Havemann Feb 2001 B1
6194233 Bedner et al. Feb 2001 B1
6200629 Sun Mar 2001 B1
6207553 Buynoski et al. Mar 2001 B1
6239491 Pasch et al. May 2001 B1
6242286 Cellarosi Jun 2001 B1
6245658 Buynoski Jun 2001 B1
6268276 Chan Jul 2001 B1
6277728 Ahn et al. Aug 2001 B1
6281541 Hu Aug 2001 B1
6300244 Itabashi et al. Oct 2001 B1
6307213 Huang et al. Oct 2001 B1
6333255 Sekiguchi Dec 2001 B1
6344125 Locke et al. Feb 2002 B1
6365480 Huppert et al. Apr 2002 B1
6384442 Chen May 2002 B1
6413854 Uzoh et al. Jul 2002 B1
6426268 Huppert et al. Jul 2002 B1
6468894 Yang et al. Oct 2002 B1
6492257 Shields et al. Dec 2002 B1
6501180 Kitch Dec 2002 B1
6525921 Nakatani et al. Feb 2003 B1
6534723 Asai et al. Mar 2003 B1
6580270 Coehoorn Jun 2003 B1
6614643 Morita et al. Sep 2003 B1
6645821 Bailey et al. Nov 2003 B2
6657247 Yoshiyama et al. Dec 2003 B2
6667537 Koike et al. Dec 2003 B1
6667552 Buynoski Dec 2003 B1
6709562 Andricacos et al. Mar 2004 B1
6710443 Rost et al. Mar 2004 B1
6713835 Horak et al. Mar 2004 B1
6737310 Tsai et al. May 2004 B2
6784478 Merchant et al. Aug 2004 B2
6806096 Kim et al. Oct 2004 B1
6806551 Liu et al. Oct 2004 B2
6825092 Zurcher et al. Nov 2004 B2
6881999 Lee et al. Apr 2005 B2
6893935 Lachner May 2005 B2
6897148 Halahan et al. May 2005 B2
6897507 Lin May 2005 B2
6902981 Ng et al. Jun 2005 B2
6919244 Remmel et al. Jul 2005 B1
6921689 Matsuhashi Jul 2005 B2
6930256 Huemoeller et al. Aug 2005 B1
6940114 Oh et al. Sep 2005 B2
6940170 Parikh Sep 2005 B2
6964908 Hsu et al. Nov 2005 B2
6984892 Gotkis et al. Jan 2006 B2
6998327 Danielson Feb 2006 B2
7033887 Delpech et al. Apr 2006 B2
7052932 Huang et al. May 2006 B2
7060604 Kata et al. Jun 2006 B2
7064439 Berthold et al. Jun 2006 B1
7091611 Ahn et al. Aug 2006 B2
7108797 Chen et al. Sep 2006 B2
7151051 He et al. Dec 2006 B2
7172980 Torres et al. Feb 2007 B2
7185426 Hiner et al. Mar 2007 B1
7202567 Kikuta et al. Apr 2007 B2
7211495 Park May 2007 B2
7233066 Kata et al. Jun 2007 B2
7235428 Tanida et al. Jun 2007 B2
7260890 White et al. Aug 2007 B2
7262989 Jeong Aug 2007 B2
7271010 Motoyoshi Sep 2007 B2
7297614 Lee et al. Nov 2007 B2
7329953 Tu Feb 2008 B2
7351650 Lin et al. Apr 2008 B2
7397087 Chinthakindi et al. Jul 2008 B2
7405150 Lin et al. Jul 2008 B2
7427550 Chinthakindi et al. Sep 2008 B2
7459761 Lin Dec 2008 B2
7479424 Giraudin et al. Jan 2009 B2
7494867 Kikuta et al. Feb 2009 B2
7534692 Jagueneau et al. May 2009 B2
7652379 Poddar Jan 2010 B2
7663861 Nishiura Feb 2010 B2
7678660 Tanaka Mar 2010 B2
7692274 Chen et al. Apr 2010 B2
7796372 Cremer et al. Sep 2010 B2
7808048 Hill et al. Oct 2010 B1
20010014526 Clevenger Aug 2001 A1
20010020713 Yoshitomi et al. Sep 2001 A1
20010023986 Mancevski Sep 2001 A1
20010039114 Nakamura Nov 2001 A1
20020027300 Hartmann et al. Mar 2002 A1
20020158337 Babich et al. Oct 2002 A1
20020164840 Lu et al. Nov 2002 A1
20020171100 Pohm Nov 2002 A1
20030073302 Huibers et al. Apr 2003 A1
20030081454 Miyatke et al. May 2003 A1
20030154583 Fujii et al. Aug 2003 A1
20030223283 Kunikiyo Dec 2003 A1
20040101663 Agarwala et al. May 2004 A1
20040203224 Halahan et al. Oct 2004 A1
20040251232 Chen et al. Dec 2004 A1
20040252931 Belleville et al. Dec 2004 A1
20050186686 Chen et al. Aug 2005 A1
20050242382 Daughton et al. Nov 2005 A1
20060012052 McDevitt et al. Jan 2006 A1
20060022286 Leuschner et al. Feb 2006 A1
20060027878 Chinthakindi et al. Feb 2006 A1
20060039185 Braun et al. Feb 2006 A1
20060134809 Brennan et al. Jun 2006 A1
20060193165 Ezaki et al. Aug 2006 A1
20060232894 Hayashi et al. Oct 2006 A1
20060246652 Grivna et al. Nov 2006 A1
20060255945 Egbert Nov 2006 A1
20060284195 Nagai Dec 2006 A1
20060289993 Ahn et al. Dec 2006 A1
20070087454 Chen et al. Apr 2007 A1
20070087554 Louis Apr 2007 A1
20070099010 Hohage et al. May 2007 A1
20070121254 Holman May 2007 A1
20070128868 Halahan et al. Jun 2007 A1
Related Publications (1)
Number Date Country
20090294989 A1 Dec 2009 US
Divisions (1)
Number Date Country
Parent 11620497 Jan 2007 US
Child 12538782 US