Load lock chamber for large area substrate processing system

Abstract
A load lock chamber and method for transferring large area substrates is provided. In one embodiment, a load lock chamber suitable for transferring large area substrates includes a plurality of vertically stacked single substrate transfer chambers. The configuration of vertically stacked single substrate transfer chambers contributes to reduced size and greater throughput as compared to conventional state of the art, dual slot dual substrate designs. Moreover, the increased throughput has been realized at reduced pumping and venting rates, which corresponds to reduced probability of substrate contamination due to particulates and condensation.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


Embodiments of the invention generally relate to load lock chamber for transferring large area substrates into a vacuum processing system and methods of operation of the same.


2. Description of the Related Art


Thin film transistors (TFT) are commonly used for active matrix displays such as computer and television monitors, cell phone displays, personal digital assistants (PDAs), and an increasing number of other devices. Generally, flat panels comprise two glass plates having a layer of liquid crystal materials sandwiched therebetween. At least one of the glass plates includes one conductive film disposed thereon that is coupled to a power source. Power, supplied to the conductive film from the power source, changes the orientation of the crystal material, creating a pattern display.


With the marketplace's acceptance of flat panel technology, the demand for larger displays, increased production and lower manufacturing costs have driven equipment manufacturers to develop new systems that accommodate larger size glass substrates for flat panel display fabricators. Current glass processing equipment is generally configured to accommodate substrates up to about one square meter. Processing equipment configured to accommodate substrate sizes up to and exceeding 1½ square meters is envisioned in the immediate future.


Equipment to fabricate such large substrates represents a substantial investment to flat panel display fabricators. Conventional systems require large and expensive hardware. In order to offset this investment, high substrate throughput is highly desirable.



FIG. 9 is simplified schematic of a double dual slot load lock chamber 900 available from AKT, a wholly owned division of Applied Materials Inc., located in Santa Clara, Calif., that is currently capable of transferring of 1500×1800 mm substrates at a rate of about 60 substrates per hour. The load lock chamber 900 includes two substrate transfer chambers 902, 904 formed in a chamber body 906. Each substrate transfer chamber 902, 904 has an interior volume of about 800 liters. Two substrates 910 are disposed on an elevator 912 that is vertically movable within the chamber 902, 904 to facilitate exchange of the substrate with transfer robots (not shown).


To achieve high substrate throughput, load lock chambers, such as the one described above, require high capacity vacuum pumps and venting systems. However, increasing the throughput of such high volume load lock chambers is challenging. Simply increasing the pumping and venting speeds does not provide an acceptable solution as high pumping speeds may contribute to particulate contamination of the substrate within the load lock chamber. Moreover, as cleanrooms generally operate at humidity levels greater than 50 percent to minimize static electricity, rapid venting of the load lock chamber may undesirably result in condensation of water vapor within the load lock chamber. As future processing systems are envisioned to process even larger size substrates, the need for improved load lock chambers capable of rapid transfer of large area substrates is of increasing concern.


Thus, there is a need for an improved load lock chamber for large area substrates.


SUMMARY OF THE INVENTION

A load lock chamber and method for transferring large area substrates is provided. In one embodiment, a load lock chamber suitable for transferring large area substrates includes a plurality of vertically stacked single substrate transfer chambers. In another embodiment, a load lock chamber suitable for transferring large area substrates includes a chamber body having a first side adapted for coupling to a vacuum chamber and a second side adapted for coupling to a factory interface. The chamber body includes N vertically stacked substrate transfer chambers formed therein, where N is an integer greater than two. Adjacent substrate transfer chambers are separated and environmentally isolated by a substantially horizontal interior wall.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.



FIG. 1 is a top plan view of one embodiment of a processing system for processing large area substrates;



FIG. 2 is a side sectional view of one embodiment of a multiple chamber load lock chamber;



FIG. 3 is a sectional view of the load lock chamber taken along section lines 33 of FIG. 2;



FIGS. 4A–B are partial sectional views of the load lock chamber of FIG. 3;



FIG. 5 is one embodiment of an alignment mechanism;



FIGS. 6–7 are sectional views of another embodiment of an alignment mechanism;



FIG. 8 is another embodiment of a load lock chamber; and



FIG. 9 is one embodiment of a conventional dual slot dual substrate load lock chamber of the prior art.


To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures.





DETAILED DESCRIPTION

The invention generally provides a high volume/high throughput load lock chamber having multiple stacked substrate transfer chambers. The invention is illustratively described below utilized in a flat panel processing system, such as those available from AKT, a division of Applied Materials, Inc., Santa Clara, Calif. However, it should be understood that the invention has utility in other system configurations, wherever high throughput substrate transfer through a load lock chamber of large area substrates is desired.



FIG. 1 is a top plan view of one embodiment of a process system 150 suitable for processing large area substrates (e.g., substrates having a plan area greater than about 2.7 square meters). The process system 150 typically includes a transfer chamber 108 coupled to a factory interface 112 by a load lock chamber 100 having a plurality of single substrate transfer chambers. The transfer chamber 108 has at least one dual blade vacuum robot 134 disposed therein that is adapted to transfer substrates between a plurality of circumscribing process chambers 132 and the load lock chamber 100. In one embodiment, one of the process chambers 132 is a pre-heat chamber that thermally conditions substrates prior to processing to enhance throughput of the system 150. Typically, the transfer chamber 108 is maintained at a vacuum condition to eliminate the necessity of adjusting the pressures between the transfer chamber 108 and the individual process chambers 132 after each substrate transfer.


The factory interface 112 generally includes a plurality of substrate storage cassettes 138 and a dual blade atmospheric robot 136. The cassettes 138 are generally removably disposed in a plurality of bays 140 formed on one side of the factory interface 112. The atmospheric robot 136 is adapted to transfer substrates 110 between the cassettes 138 and the load lock chamber 100. Typically, the factory interface 112 is maintained at or slightly above atmospheric pressure.



FIG. 2 is a sectional view of one embodiment of the multi-chamber load lock 100 of FIG. 1. The load lock chamber 100 has a chamber body 212 that includes a plurality of vertically-stacked, environmentally-isolated substrate transfer chambers that are separated by vacuum-tight, horizontal interior walls 214. Although three single substrate transfer chambers 220, 222, 224 are shown in the embodiment depicted in FIG. 2, it is contemplated that the chamber body 212 of load lock chamber 100 may include two or more vertically-stacked substrate transfer chambers. For example, the load lock chamber 100 may include N substrate transfer chambers separated by N−1 horizontal interior walls 214, where N is an integer greater than one.


The substrate transfer chambers 220, 222, 224 are each configured to accommodate a single large area substrate 110 so that the volume of each chamber may be minimized to enhance fast pumping and vent cycles. In the embodiment depicted in FIG. 2, each substrate transfer chamber 220, 222, 224 has an interior volume of equal to or less than about 1000 liters to accommodate substrates having a plan surface area of about 2.7 square meters, For comparison, a dual slot dual substrate transfer chamber of a conventional design 900 (shown in FIG. 9) has an Interior volume of about 1600 liters. It is contemplated that a substrate transfer chamber of the present invention having a greater width and/or length and equal height may be configured to accommodate even larger substrates.


The chamber body 212 includes first sidewall 202, a second sidewall 204, a third sidewall 206, a bottom 208 and a top 210. A fourth sidewall 302 is shown opposite the third sidewall 206 in FIG. 3. The body 212 is fabricated from a rigid material suitable for use under vacuum conditions. In one embodiment, the chamber body 212 is fabricated from a single block (e.g., one piece) of aluminum. Alternatively, the chamber body 212 may be fabricated from modular sections, each modular section generally comprising a portion of one of the substrate transfer chambers 220, 222, 224, and assembled in a fashion suitable to maintain vacuum integrity, such as continuous welds shown by reference numeral 218.


In the embodiment depicted in FIG. 2, the interior walls 214 and the remaining portions of the chamber body 212 other than the second sidewall 206 are fabricated from a single contiguous mass of material. The second sidewall 206 is sealably coupled to the other portions of the chamber body 212 to facilitate machining of the substrate transfer chambers 220, 222, 224 and to allow access to the interior portions of the chamber body 212 during fabrication and assembly.


Alternatively, the horizontal walls 214 of the chamber body 212 may be vacuum sealed to sidewalls of the chamber body 212, thereby isolating the substrate transfer chambers 220, 222, 224. For example, the horizontal walls 214 may be continuously welded to the chamber body 212 to allow greater access to the entire interior of the chamber body 212 during early assembly stages of the load lock chamber 100.


Each of the substrate transfer chambers 220, 222. 224 defined in the chamber body 212 includes two substrate access ports. The ports are configured to facilitate the entry and egress of large area substrates 110 from the load lock chamber 100. In the embodiment depicted in FIG. 2, the first substrate transfer chamber 220 disposed at the bottom 208 of the chamber body 212 includes a first substrate access port 230 and a second substrate access port 232 having a width greater than 2000 mm. The first substrate access port 230 is formed through the first sidewall 202 of the chamber body 212 and couples the first substrate transfer chamber 220 to the central transfer chamber 108 of the processing system 150. The second substrate access port 232 is formed through the second wall 204 of the chamber body 212 and couples the first substrate transfer chamber 220 to the factory interface 112. In the embodiment depicted in FIG. 2, the substrate access ports 230, 232 are disposed on opposite sides of the chamber body 212, however, the parts 230, 232 may alternatively positioned on adjacent walls of the body 212.


Each of the substrate access ports 230, 232 is selectively sealed by a respective slit valve 226, 228 adapted to selectively isolate the first substrate transfer chamber 220 from the environments of the transfer chamber 108 and the factory interface 112. The slit valves 226, 228 are moved between an open and closed position by an actuator 242 (one actuator 242 shown in phantom in FIG. 2 is normally positioned outside the chamber body 212). In the embodiment depicted in FIG. 2, each of the slit valves 226, 228 is pivotally coupled to the chamber body 212 along a first edge and rotated between the open and closed position by the actuator 242.


The first slit valve 226 seals the first substrate access port 230 from the interior side of the first sidewall 202 and is thereby positioned within the first substrate transfer chamber 220 such that a vacuum (e.g., pressure) differential between the first substrate transfer chamber 220 and the vacuum environment of the central transfer chamber 108 assists in loading and sealing the slit valve 226 against the first sidewall 202, thereby enhancing the vacuum seal. Correspondingly, the second slit valve 228 is disposed on the exterior of the second sidewall 204 and is thereby positioned such that the pressure differential between the ambient environment of the factory interface 112 and the vacuum environment of the first substrate transfer chamber 220 assists in sealing the second substrate access port 232. Examples of slit valves that may be adapted to benefit from the invention are described in U.S. Pat. No. 5,579,718, issued Dec. 3, 1996 to Freerks and U.S. Pat. No. 6,045,620, issued Apr. 4, 2000 to Tepman et al., both of which are hereby incorporated by reference in their entireties.


The second substrate transfer chamber 222 is similarly configured with access ports 234, 236 and slit valves 226, 228. The third substrate transfer chamber 224 is similarly configured with access ports 238, 240 and slit valves 226, 228.


The substrate 110 is supported above the bottom 208 of the first substrate transfer chamber 220 and the interior walls 214 bounding the bottom of the second and third substrate transfer chambers 222, 224 by a plurality of substrate supports 244. The substrate supports 244 are configured and spaced to support the substrate 110 at an elevation above the bottom 208 (or walls 214) to avoid contact of the substrate with the chamber body 212. The substrate supports 244 are configured to minimize scratching and contamination of the substrate. In the embodiment depicted in FIG. 2, the substrate supports 244 are stainless pins having a rounded upper end 246. Other suitable substrate supports are described in U.S. Pat. No. 6,528,767, filed Mar. 4, 2003; U.S. patent application Ser. No. 09/982,406, filed Oct. 17, 2001; and U.S. patent application Ser. No. 10/376,857, filed Feb. 27, 2003, all of which are incorporated by reference in their entireties.



FIG. 3 is a sectional view of the load lock chamber 100 taken along section line 33 of FIG. 2. The sidewalls of each of the substrate transfer chambers 220, 222, 224 includes at least one port disposed therethrough to facilitate controlling the pressure within the interior volume of each chamber. In the embodiment depicted in FIG. 3, the chamber body 212 includes a vent port 306 formed through the fourth sidewall 302 and a vacuum port 304 formed through the third sidewall 206 of the chamber body 212 for venting and pumping down of the first substrate transfer chamber 220. Valves 310, 312 are respectfully coupled to the vent port 304 and vacuum port 306 to selectively prevent flow therethrough. The vacuum port 306 is coupled to a vacuum pump 308 that is utilized to selectively lower the pressure within the interior volume of the first substrate transfer chamber 220 to a level that substantially matches the pressure of the transfer chamber 108.


Referring additionally to FIG. 2, when the pressures between the transfer chamber 108 and the first substrate transfer chamber 220 of the load lock chamber 100 are substantially equal, the slit valve 226 may be opened to allow processed substrates to be transferred to the load lock chamber 100 and substrates to be processed transferred to the transfer chamber 108 by the vacuum robot 134 through the first substrate access port 230. After placing the substrate returning from the transfer chamber 108 in the first substrate transfer chamber 220 of the load lock chamber 100, the slit valve 226 is closed and the valve 310 is opened thereby allowing venting gas, for example N2 and/or He, into the first substrate transfer chamber 220 of the load lock chamber 100 through the vent port and raising the pressure within the internal volume 110. Typically, the venting gas entering the interior volume 110 through the vent port 304 is filtered to minimize potential particulate contamination of the substrate. Once the pressure within the first substrate transfer chamber 220 is substantially equal to that of the factory interface 112, the slit valve 224 opens, thus allowing the atmospheric robot 136 to transfer substrates between the first substrate transfer chamber 220 and the substrate storage cassettes 138 coupled to the factory interface 112 through the second substrate access port 232.


The other substrate transfer chambers 222, 224 are similarly configured. Although each of the substrate transfer chambers 220, 222, 224 are shown with individual pumps 308, one or more of the substrate transfer chambers 220, 222, 224 may share a single vacuum pump equipped with appropriate flow controls to facilitate selective pumping between chambers.


As the substrate transfer chambers 220, 224, 226 are configured with less than or equal to about 1000 liters of volume, the load lock chamber 100 may transfer about 70 substrates per hour at a reduced pumping rate as compared to a conventional dual substrate dual slot load look chamber 900, as described in FIG. 9 above, which has a substrate transfer rate of about 60 substrates per hour. Increasing the pumping rate of the load lock chamber 900 to boost the throughput would result in condensation forming within the chamber. The reduced pumping rate of the present invention is between about 160–180 seconds per pump/vent cycles as compared to about 130 seconds per cycle of the load lock chamber 900. The substantially longer cycle reduces air velocity within the chamber, thereby reducing the probability of particular contamination of the substrate, while eliminating the condensation. Moreover, greater substrate throughput is achieved using pumps 308 having lower capacity, which contributes to reducing the system costs.


Furthermore, due to the stacked configuration of the substrate transfer chambers, greater substrate throughput is realized without increasing the footprint of the load lock chamber more than would be necessary to transfer a single substrate. A minimized footprint is highly desirable in reducing the overall cost of the FAB. Additionally, the overall height of the load lock having three single substrate transfer chambers 220, 222, 224 is less than the dual chambered system 700, further providing greater throughput in a smaller, less expensive package.


The bottom 208 of the first substrate transfer chamber 220 and the interior walls 214 bounding the bottom of the second and third substrate transfer chambers 222, 224 may also include one or more grooves 316 formed therein. As depicted in FIGS. 4A–B, the grooves 316 are configured to provide clearance between the substrate 110 disposed on the substrate supports 244 and a robot blade 402.


The blade 402 (one finger of which is shown in FIGS. 4A–B) is moved into the groove 316. Once in a predefined position within the first substrate transfer chamber 220, the blade 402 is elevated to lift the substrate 110 from the supports 244. The blade 402 carrying the substrate 110 is then retracted from the first substrate transfer chamber 220. The substrate 100 is placed on the substrate supports 244 in the reverse manner.



FIG. 5 is a partial sectional view of the chamber body 212 showing one embodiment of an alignment mechanism 500 that may be utilized to urge the substrate 110 into a predefined position in the first substrate transfer chamber 220. A second alignment mechanism (not shown) is disposed in the opposite corner of the first substrate transfer chamber 220 to work in concert with the mechanism 500 shown. Optionally, one alignment mechanism 500 may be disposed in each corner of the first substrate transfer chamber 220. The other substrate transfer chambers 222, 226 are similarly equipped to align the substrates.


For example, the alignment apparatus 500 may correct positional inaccuracies between a deposited position of the substrate 110 as placed by the atmospheric robot 136 on the substrate supports 244 and a predefined (i.e., designed) position of the substrate 110 relative the substrate supports 244. Having the position of the substrate 110 aligned by the alignment apparatus 500 within the load lock chamber 100 independent from conventional correction methods that utilize the atmospheric robot 136 to adjust the substrate placement allows greater flexibility and lower system costs. For example, the substrate transfer chamber 220 with alignment apparatus 500 provides greater compatibility between the load lock chamber 100 and user supplied factory interfaces 112 since the load lock chamber 100 is more tolerant to substrate position on the substrate supports 244, thereby reducing the need for robots of great precision and/or corrective robot motion algorithms generated by the factory interface provider. Moreover, as the positional accuracy designed criteria for the atmospheric robot 136 is diminished, less costly robots may be utilized.


In the embodiment of FIG. 5, the alignment mechanism includes two rollers 502, 504 coupled to a first end 506 of a lever 508. The lever 508 extending through a slot 518 formed through the sidewall 302 pivots about a pin 510. An actuator 512 is coupled to the lever 508 such that the rollers 502, 504 may be urged against adjacent edges 514, 516 of the substrate 110. The actuator 512, such as a pneumatic cylinder, is generally positioned on the exterior of the chamber body 212. A housing 520 is sealably disposed over the slot 518 and includes bellows or other suitable seals 522 to facilitate coupling of the actuator 512 to the lever 508 without vacuum leakage. The alignment mechanism 500 and the opposing alignment mechanism (not shown) work in concert to position the substrate in a predefined position within the first substrate transfer chamber 220. Other substrate alignment mechanisms that may be utilized are described in U.S. patent application Ser. No. 10/094,156, filed Mar. 8, 2002; and U.S. patent application Ser. No. 10/084,762, filed Feb. 22, 2002, all of which are incorporated by reference in their entireties.



FIGS. 6–7 are sectional views of another embodiment of an alignment mechanism 600. The alignment mechanism 600 is configured to operate similar to the alignment mechanism 500 described above. Although only one alignment mechanism 600 is shown in FIG. 6, the alignment mechanism 600 operates in concert with another all alignment mechanism (not shown) disposed in the opposite corner of the chamber body 212. Optionally, each comer of the chamber body 212 may include an alignment mechanism.


The alignment mechanism 600 generally includes an interior lever 602 coupled to an actuator 608 by a shaft 604 disposed through the chamber body 212. In the embodiment depicted in FIGS. 6–7, the actuator 608 is coupled to the shaft 604 by an exterior lever 606. The exterior lever 606 is coupled to a post 720 of the shaft 604 that extended into a recess 702 defined in the exterior wall of the chamber body 212. The actuator 608 may be a motor, linear actuator or other device suitable for imparting rotary motion to the shaft 604. The interior lever 602 rotates with the shaft 604, thereby moving a pair of rollers 502, 504 extending from the lever 602 to urge a substrate 110 (shown in phantom) into a predefined position.


The shaft 604 passes through a horizontal wall 612 defining the bottom of the recess 610. The shaft 604 is disposed through a hollow housing 614 that is secured to the chamber body 212 by a plurality of fasteners 616. A pair of bushings 706, 712 are disposed in a bore 708 of the housing 614 to facilitate rotation of the shaft 604 within the housing 614. A seal 704 is disposed between a flange 710 of the housing 614 to maintain the vacuum integrity of the chamber body 212.


A plurality of seals 714 are disposed between the shaft 604 and housing 614 to prevent vacuum loss. In the embodiment depicted in FIG. 7, the seals 714 comprise three cup seals having an open end facing the exterior lever 606. The seals 714 are retained within the bore 708 by a washer 716 and retaining 718.



FIG. 8 depicts another embodiment of a load lock chamber 800. The load lock chamber 800 is similar to the load lock chamber 100 described above and is additionally configured to provide thermal processing of the substrate 110 during pump down and/or venting of the substrate transfer chambers. In the embodiment depicted in FIG. 8, a portion of a chamber body 822 is shown having one substrate transfer chamber 802 partially detailed, while upper and lower adjacent substrate transfer chambers 804, 806 may be similarly configured.


In one embodiment, a cooling plate 810 is disposed in the substrate transfer chamber 802. The cooling plate 810 may be adapted to cool processed substrates returning to the load lock chamber 800. The cooling plate 810 may be an integral part or coupled to the interior wall 214. The cooling plate 810 includes a plurality of passages 812 coupled to a cooling fluid source 814. The cooling fluid source 814 is adapted to circulate a heat transfer fluid through the passages 812 to regulate the temperature of the substrate 110.


In the embodiment depicted in FIG. 8, the cooling plate 810 is coupled to at least one actuator 816 that controls the elevation of the plate 810 relative to the substrate 110 disposed on the substrate supports 244. The substrate supports 244 are disposed through apertures 818 formed through the cooling plate 810 to allow the cooling plate 810 to move vertically into close proximity to the substrate for enhanced heat transfer, and away from the substrate to provide access for the blade of the robot as described with reference to FIGS. 4A–B above.


The actuator 816 is coupled to the exterior of the chamber body 822 and is coupled to the cooling plate 810 by a connecting rod 820. The rod 820 passes through a slot 824 formed in chamber body 822. A housing 826 is disposed over the slot 824 and is sealably coupled to the actuator 816 and rod 820 by bellows 828 or the like to allow the actuator 816 to adjust the elevation of the cooling plate 810 without loss of vacuum from the substrate transfer chamber 802.


The substrate transfer chamber 802 may also include a heating element 830 disposed adjacent the top boundary (i.e., internal wall or top of chamber body, depending on the position of the substrate transfer chamber within the load lock chamber). In the embodiment depicted in FIG. 8, the heating element 830 is coupled to a power source 832 and is adapted to preheat unprocessed substrates, and in one embodiment is a radiant heater, such as a quartz infrared Halogen lamp or the like. It is contemplated that other heating elements may be utilized.


Thus, a load lock chamber having vertically stacked single substrate transfer chambers is provided. The configuration of vertically stacked single substrate transfer chambers contributes to reduced size and greater throughput as compared to conventional state of the art, dual slot dual substrate designs. Moreover, the increased throughput has been realized at reduced pumping and venting rates, which corresponds to reduced probability of substrate contamination due to particulates and condensation.


While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. The scope of the invention is determined by the claims which follow.

Claims
  • 1. A loadlock chamber comprising: a chamber body having a first side adapted for coupling to a vacuum chamber, a second side adapted for coupling to a factory interface and a third side coupling the first and second sides;N vertically stacked substrate transfer chambers formed in the chamber body, where N is an integer greater than two;N−1 interior walls, each interior wall separating and environmentally isolating adjacent substrate transfer chambers; andat least one alignment mechanism disposed in each substrate transfer chamber, each said alignment mechanism in each said substrate transfer chamber rotatable about an axis coplanar with a plane of the third side.
  • 2. The loadlock chamber of claim 1, wherein the chamber body is fabricated from one piece of material.
  • 3. The loadlock chamber of claim 1, wherein at least one of the first and second sides are fabricated with the interior walls from one piece of material to form a chamber subassembly, and the first or second side part of the chamber subassembly is sealingly coupled thereto.
  • 4. The loadlock chamber of claim 1, wherein the chamber body further comprises: a plurality of modular sections, each section including at least one substrate transfer chamber, wherein the modular sections are vertically stacked.
  • 5. The loadlock chamber of claim 1, wherein the substrate transfer chamber has an internal volume of less than or equal to about 1000 cubic liters.
  • 6. The loadlock chamber of claim 5, wherein each chamber can accommodate a substrate having a plan area of at least 2.7 square meters.
  • 7. The loadlock chamber of claim 1, wherein each of the substrate transfer chambers further comprises a plurality of fixed substrate supports adapted to maintain a substrate disposed in the substrate transfer chamber in a spaced apart relation to the body.
  • 8. The loadlock chamber of claim 1, wherein each of the substrate transfer chambers are adapted to accommodate a substrate having a plan area of at least 2.7 square meters.
  • 9. The loadlock chamber of claim 1, wherein each of the substrate transfer chambers further comprises: a cooling plate disposed on or formed integrally with at least one of the interior wall, top or bottom of the chamber body.
  • 10. The loadlock chamber of claim 9, wherein the cooling plate further comprises: a plurality of passages adapted to flow a heat transfer fluid therethrough.
  • 11. The loadlock chamber of claim 9, wherein each of the substrate transfer chambers further comprises: a plurality of fixed substrate supports adapted to maintain a substrate disposed in the substrate transfer chamber in a spaced apart relation to the body, at least one of the substrate supports disposed through the cooling plate; andan actuator coupled to the cooling plate and adapted to control the elevation of the cooling plate relative to a distal end of the substrate support.
  • 12. The loadlock chamber of claim 11, wherein each of the substrate transfer chambers further comprises: a heater disposed in at least one of a top or bottom of the substrate transfer chamber.
  • 13. The loadlock chamber of claim 1, wherein each of the substrate transfer chambers further comprises: a heater disposed in at least one of a top or bottom of the substrate transfer chamber.
  • 14. The loadlock chamber of claim 1, wherein the at least one alignment mechanism further comprises: a first alignment mechanism and a second alignment mechanism disposed in opposite corners of the substrate transfer chamber and adapted to align the substrate horizontally in a predefined orientation within the substrate transfer chamber.
  • 15. The loadlock chamber of claim 1, wherein each of the substrate transfer chambers further comprises: a vent port and a pump port.
  • 16. The loadlock chamber of claim 15, wherein the pump ports of each substrate transfer chamber are coupled to a single pump.
  • 17. The loadlock chamber of claim 15, wherein the pump ports of each substrate transfer chamber are coupled to respective pumps.
  • 18. The loadlock chamber of claim 1, wherein the interior wall further comprises: a plurality of grooves running between the first and second sides, the grooves adapted to receive at least a portion of an end effector of a substrate transfer robot.
  • 19. The loadlock chamber of claim 1, wherein the alignment mechanism further comprises: a shaft extending through the third side into a recess formed in the chamber body;a lever coupled to the shaft extending from the recess and into the chamber body;two rollers coupled to a first end of the lever; andan actuator coupled to the shaft on an exterior side of the third side, the actuator adapted to urge the rollers against adjacent edges of a substrate disposed in the chamber body.
  • 20. The loadlock chamber of claim 19, wherein the alignment mechanism further comprises: a housing coupled to the chamber body and having the shaft passing therethrough; anda seal disposed between the housing and shaft for preventing vacuum leakage from the chamber body.
  • 21. The loadlock chamber of claim 19, wherein the shaft is rotatably coupled to the chamber body.
  • 22. The loadlock chamber of claim 1, wherein each of the substrate transfer chambers further comprises: two substrate access ports having a width greater than at least 2000 mm.
  • 23. The loadlock chamber of claim 22, wherein N chambers are formed in the chamber body for receiving a single substrate and N is an integer greater than three.
  • 24. The loadlock chamber of claim 22 further comprising: a radiant heater disposed in each of the substrate transfer chambers.
  • 25. The loadlock chamber of claim 22 further comprising: a cooling plate disposed in each of the substrate transfer chambers.
  • 26. The loadlock chamber of claim 22, wherein each chamber can accommodate a substrate having a plan area of at least 2.7 square meters.
  • 27. A loadlock chamber comprising: a chamber body having a first side adapted for coupling to a vacuum chamber and a second side adapted for coupling to a factory interface;a first chamber formed within the chamber body;a first slit valve coupled to the chamber body selectively sealing a first substrate access port formed through the first side of the chamber body and coupled to the first chamber;a second slit valve coupled to the chamber body selectively sealing a second substrate access port formed through the second side of the chamber body and coupled to the first chamber;at least a second chamber formed in the chamber body and isolated from the first chamber by a horizontal wall;a third slit valve selectively sealing a third substrate access port formed through the first side of the chamber body and coupled to the second chamber;a fourth slit valve coupled to the chamber body selectively sealing a fourth substrate access support coupled to the second chamber;a third chamber formed in the chamber body above the first and second chambers and separated from the second chamber by a second horizontal wall;a fifth slit valve coupled to the chamber body selectively sealing a fifth substrate access port coupled to the third chamber;a sixth slit valve coupled to the chamber body selectively sealing a sixth substrate access port coupled to the third chamber; andat least two alignment mechanisms disposed in each chamber, each alignment mechanism having a rotational axis coplanar with a wall of the chamber body.
  • 28. The loadlock chamber of claim 27 further comprising: a first pressure management system fluidly coupled to the first chamber; anda second pressure management system fluidly coupled to the second chamber, the first and second pressure management systems independently controllable.
  • 29. The loadlock chamber of claim 28, wherein the first pressure management system further comprises: a vent valve coupled to the first chamber through an exhaust port; anda pump coupled to the first chamber through a pumping port.
  • 30. A large area substrate processing system comprising: a transfer chamber;a transfer robot disposed in the transfer chamber;a plurality of processing chambers coupled to the transfer chamber; anda plurality of vertically stacked single substrate loadlock chambers forming a unitary body coupled to the transfer chamber, wherein each chamber further comprises a rotating alignment mechanism adapted to center a substrate within the chamber, and the alignment mechanism rotates about an axis defined coplanar with a wall of the chamber.
  • 31. The system of claim 30, wherein the alignment mechanism further comprises: a substantially vertical shaft extending through a side wall of the chamber.
  • 32. The system of claim 30, wherein the alignment mechanism further comprises: a shaft extending through a side wall of the chamber;a lever arm coupled to the shaft within the chamber; andan actuator coupled to the shaft outside the chamber and controlling a rotational position of the lever arm.
  • 33. The system of claim 32, wherein the alignment mechanism further comprises: two rollers having a rotational axis parallel to the shaft and extending from the lever arm, the rollers configured to contact adjacent sides of the substrate when the lever arm is rotated.
CROSS-REFERENCE TO RELATED APPLICATION

This application claims benefit of U.S. Provisional Application Ser. No. 60/512,727, entitled “LOAD LOCK CHAMBER FOR LARGE AREA SUBSTRATE PROCESSING SYSTEM”, filed Oct. 20, 2003, which is hereby incorporated by reference in its entirety.

US Referenced Citations (156)
Number Name Date Kind
3976330 Babinski et al. Aug 1976 A
4047624 Dorenbos Sep 1977 A
4178113 Beaver, II et al. Dec 1979 A
4311542 Mueller et al. Jan 1982 A
4512391 Harra Apr 1985 A
4680061 Lamont, Jr. Jul 1987 A
4687542 Davis et al. Aug 1987 A
4693777 Hazano et al. Sep 1987 A
4709655 Van Mastrigt Dec 1987 A
4759681 Nogami Jul 1988 A
4770590 Hugues et al. Sep 1988 A
4775281 Prentakis Oct 1988 A
4784377 Woodward Nov 1988 A
4785962 Toshima Nov 1988 A
4801241 Zajac et al. Jan 1989 A
4816098 Davis et al. Mar 1989 A
4828224 Crabb et al. May 1989 A
4836733 Hertel et al. Jun 1989 A
4846102 Ozias Jul 1989 A
4857689 Lee Aug 1989 A
4863547 Shidahara et al. Sep 1989 A
4870923 Sugimoto Oct 1989 A
4895107 Yano et al. Jan 1990 A
4911103 Davis et al. Mar 1990 A
4913929 Moslehi et al. Apr 1990 A
4923584 Bramhall, Jr. et al. May 1990 A
4951601 Mayden et al. Aug 1990 A
4952299 Chrisos et al. Aug 1990 A
4966519 Davis et al. Oct 1990 A
4989543 Schmitt Feb 1991 A
4990047 Wagner et al. Feb 1991 A
5001327 Hirasawa et al. Mar 1991 A
5020475 Crabb et al. Jun 1991 A
5044871 Davis et al. Sep 1991 A
5060354 Chizinsky Oct 1991 A
5131460 Krueger Jul 1992 A
5186718 Tepman et al. Feb 1993 A
5187115 Coleman Feb 1993 A
5199483 Bahng Apr 1993 A
5202716 Tateyama et al. Apr 1993 A
5224809 Maydan et al. Jul 1993 A
5227708 Lowrance Jul 1993 A
5252807 Chizinsky Oct 1993 A
5254170 Devibiss et al. Oct 1993 A
5259881 Edwards et al. Nov 1993 A
5259883 Yamabe et al. Nov 1993 A
5261935 Ishii et al. Nov 1993 A
5288379 Namiki et al. Feb 1994 A
5292393 Maydan et al. Mar 1994 A
5352294 White et al. Oct 1994 A
5355066 Lowrance Oct 1994 A
5374147 Hiroki et al. Dec 1994 A
5376212 Saiki Dec 1994 A
5404894 Shiraiwa Apr 1995 A
5421889 Pollock et al. Jun 1995 A
5443346 Murata et al. Aug 1995 A
5445484 Kato et al. Aug 1995 A
5447409 Grunes et al. Sep 1995 A
5464313 Ohsawa Nov 1995 A
5469035 Lowrance Nov 1995 A
5470784 Coleman Nov 1995 A
5474410 Ozawa et al. Dec 1995 A
5512320 Turner et al. Apr 1996 A
5516732 Flegal May 1996 A
5536128 Shimoyashiro et al. Jul 1996 A
5562383 Iwai et al. Oct 1996 A
5570994 Somekh et al. Nov 1996 A
5586585 Bonora et al. Dec 1996 A
5588827 Muka Dec 1996 A
5607009 Turner et al. Mar 1997 A
5609689 Kato et al. Mar 1997 A
5611655 Fukasawa et al. Mar 1997 A
5611865 White et al. Mar 1997 A
5615988 Wiesler et al. Apr 1997 A
5616208 Lee Apr 1997 A
5636964 Somekh et al. Jun 1997 A
5655277 Galdos et al. Aug 1997 A
5674786 Turner et al. Oct 1997 A
5685684 Kato et al. Nov 1997 A
5695568 Sinha et al. Dec 1997 A
5697749 Iwabuchi et al. Dec 1997 A
5700127 Harada et al. Dec 1997 A
5716207 Mishina et al. Feb 1998 A
5738767 Coad et al. Apr 1998 A
5751003 Rose et al. May 1998 A
5784799 Kato et al. Jul 1998 A
5793050 Rose et al. Aug 1998 A
5795355 Moran Aug 1998 A
5820679 Yokoyama et al. Oct 1998 A
5833426 Marohl Nov 1998 A
5855681 Maydan et al. Jan 1999 A
5855726 Soraoka et al. Jan 1999 A
5882165 Maydan et al. Mar 1999 A
5884009 Okase Mar 1999 A
5891251 MacLeish et al. Apr 1999 A
5902088 Faribairn et al. May 1999 A
5909994 Blum et al. Jun 1999 A
5913978 Kato et al. Jun 1999 A
5934856 Asakawa et al. Aug 1999 A
5942013 Akimoto Aug 1999 A
5944857 Edwards et al. Aug 1999 A
5951770 Perlov et al. Sep 1999 A
5954472 Hofmeister et al. Sep 1999 A
5961269 Kroeker Oct 1999 A
5989346 Hiroki Nov 1999 A
5997235 Hofmeister Dec 1999 A
6007675 Toshima Dec 1999 A
6012192 Sawada et al. Jan 2000 A
6016611 White et al. Jan 2000 A
6034000 Heyder et al. Mar 2000 A
6039770 Yang et al. Mar 2000 A
6042623 Edwards Mar 2000 A
6044534 Seo et al. Apr 2000 A
6048154 Wytman Apr 2000 A
6059507 Adams May 2000 A
6079693 Ettinger et al. Jun 2000 A
6082950 Altwood et al. Jul 2000 A
6086362 White et al. Jul 2000 A
6106634 Ghanayem et al. Aug 2000 A
6143083 Yonemitsu et al. Nov 2000 A
6145673 Burrows et al. Nov 2000 A
6176667 Fairbairn et al. Jan 2001 B1
6176668 Kurita et al. Jan 2001 B1
6193507 White et al. Feb 2001 B1
6206176 Blonigan Mar 2001 B1
6213704 White et al. Apr 2001 B1
6215897 Beer et al. Apr 2001 B1
6224680 Toshima May 2001 B1
6235634 White et al. May 2001 B1
6254328 Wytman Jul 2001 B1
6270582 Rivkin et al. Aug 2001 B1
6286230 White et al. Sep 2001 B1
6315512 Tabrizi et al. Nov 2001 B1
6318945 Hofmeister Nov 2001 B1
6338626 Saeki Jan 2002 B1
6340405 Park Jan 2002 B2
6382895 Konishi et al. May 2002 B1
6410455 Kuribayashi et al. Jun 2002 B1
6431807 Stevens et al. Aug 2002 B1
6435686 Gotou et al. Aug 2002 B1
6435868 White et al. Aug 2002 B2
6450750 Heyder et al. Sep 2002 B1
6486444 Fairbairn et al. Nov 2002 B1
6503365 Kim et al. Jan 2003 B1
6517303 White et al. Feb 2003 B1
6558509 Kraus et al. May 2003 B2
6568552 Tabrizi et al. May 2003 B1
6568899 Kuribayashi et al. May 2003 B1
6602797 Kuribayashi et al. Aug 2003 B2
6609869 Aggarwal et al. Aug 2003 B2
6610150 Savage et al. Aug 2003 B1
6647665 Tabrizi et al. Nov 2003 B1
20020034886 Kurita et al. Mar 2002 A1
20020137346 Donaldson et al. Sep 2002 A1
20020159864 Lowrance Oct 2002 A1
20040149210 Fink Aug 2004 A1
Foreign Referenced Citations (32)
Number Date Country
0359525 Mar 1990 EP
0607797 Jul 1994 EP
0 608 620 Aug 1994 EP
0608633 Aug 1994 EP
0684630 Nov 1995 EP
0756316 Jan 1997 EP
0 935 279 Aug 1999 EP
64-028933 Jan 1989 JP
02-152251 Jun 1990 JP
3136345 Jun 1991 JP
03-274746 Dec 1991 JP
04-098848 Mar 1992 JP
04-240721 Aug 1992 JP
05-013551 Jan 1993 JP
5-179447 Jul 1993 JP
05-283500 Oct 1993 JP
06-104326 Apr 1994 JP
06-156624 Jun 1994 JP
06-163505 Jun 1994 JP
7-86169 Mar 1995 JP
8-264452 Oct 1996 JP
10-107126 Apr 1998 JP
00-195925 Jul 2000 JP
WO 9913504 Mar 1999 WO
WO 9918603 Apr 1999 WO
WO 9959928 Nov 1999 WO
WO 9960609 Nov 1999 WO
WO 9960610 Nov 1999 WO
WO 9960611 Nov 1999 WO
WO 9960612 Nov 1999 WO
WO9961612 Nov 1999 WO
WO 9961350 Dec 1999 WO
Related Publications (1)
Number Date Country
20050095088 A1 May 2005 US
Provisional Applications (1)
Number Date Country
60512727 Oct 2003 US