Low temperature bonded structures

Information

  • Patent Grant
  • 11515279
  • Patent Number
    11,515,279
  • Date Filed
    Tuesday, August 18, 2020
    3 years ago
  • Date Issued
    Tuesday, November 29, 2022
    a year ago
Abstract
Devices and techniques including process steps make use of recesses in conductive interconnect structures to form reliable low temperature metallic bonds. A fill layer is deposited into the recesses prior to bonding. First conductive interconnect structures are bonded at ambient temperatures to second metallic interconnect structures using direct bonding techniques, with the fill layers in the recesses in one or both of the first and second interconnect structures.
Description
FIELD

The following description relates to integrated circuits (“ICs”). More particularly, the following description relates to manufacturing IC dies and wafers.


BACKGROUND

Microelectronic elements often comprise a thin slab of a semiconductor material, such as silicon or gallium arsenide, commonly called a semiconductor wafer. A wafer can be formed to include multiple integrated chips or dies on a surface of the wafer and/or partly embedded within the wafer. Dies that are separated from a wafer are commonly provided as individual, prepackaged units. In some package designs, the die is mounted to a substrate or a chip carrier, which is in turn mounted on a circuit panel, such as a printed circuit board (PCB). For example, many dies are provided in packages suitable for surface mounting.


Packaged semiconductor dies can also be provided in “stacked” arrangements, wherein one package is provided, for example, on a circuit board or other carrier, and another package is mounted on top of the first package. These arrangements can allow a number of different dies to be mounted within a single footprint on a circuit board and can further facilitate high-speed operation by providing a short interconnection between the packages. Often, this interconnect distance can be only slightly larger than the thickness of the die itself. For interconnection to be achieved within a stack of die packages, interconnection structures for mechanical and electrical connection may be provided on both sides (e.g., faces) of each die package (except for the topmost package).


Additionally, dies or wafers may be stacked in a three-dimensional arrangement as part of various microelectronic packaging schemes. This can include stacking a layer of one or more dies, devices, and/or wafers on a larger base die, device, wafer, substrate, or the like, stacking multiple dies or wafers in a vertical or horizontal arrangement, and various combinations of both. Dies or wafers may be bonded in a stacked arrangement using various bonding techniques, including direct dielectric bonding, non-adhesive techniques, such as ZiBond® or a hybrid bonding technique, such as DBI®, both available from Invensas Bonding Technologies, Inc. (formerly Ziptronix, Inc.), an Xperi company (see for example, U.S. Pat. Nos. 6,864,585 and 7,485,968, which are incorporated herein in their entirety).


There can be a variety of challenges to implementing stacked die and wafer arrangements. When bonding stacked dies using a direct bonding or hybrid bonding technique, it is usually desirable that the surfaces of the dies to be bonded be extremely flat, smooth, and clean. For instance, in general, the surfaces should have a very low variance in surface topology nanometer scale variance), so that the surfaces can be closely mated to form a lasting bond.


However, some processing techniques, such as chemical-mechanical polishing (CMP) and the like, which are used to prepare the bonding surfaces can also contribute to forming uneven bonding surfaces, particularly when the bonding surfaces include varying materials of varying densities. For instance, embedded metallic structures at the bonding surface can be excessively recessed during bonding surface polishing, and to a greater degree when the metallic structures have large exposed surface areas.


Further, it can be desirable to bond the embedded metallic structures of adjacent stacked dies to form conductive interconnects between the dies. Generally, the metallic structures are bonded using heated annealing techniques to form diffusion bonds. However, the temperatures used to perform the annealing techniques can often be too great for some desirable packaging schemes or components or at least one or more materials in the package, thus limiting the types of schemes and components or combination of materials that may take advantage of these techniques.





BRIEF DESCRIPTION OF THE DRAWINGS

The detailed description is set forth with reference to the accompanying figures. In the figures, the left-most digit(s) of a reference number identifies the figure in which the reference number first appears. The use of the same reference numbers in different figures indicates similar or identical items.


For this discussion, the devices and systems illustrated in the figures are shown as having a multiplicity of components. Various implementations of devices and/or systems, as described herein, may include fewer components and remain within the scope of the disclosure. Alternatively, other implementations of devices and/or systems may include additional components, or various combinations of the described components, and remain within the scope of the disclosure.



FIGS. 1A-1G include a graphical flow diagram illustrating an example process for forming and bonding dies, according to an embodiment.



FIGS. 2A-2E include a graphical flow diagram illustrating another example process for forming and bonding dies, according to an embodiment.



FIGS. 3A-3C include a graphical flow diagram illustrating an alternate example process for forming and bonding dies, according to an embodiment.



FIGS. 4A-4C include a graphical flow diagram illustrating another example process for forming and bonding dies, according to an embodiment.



FIG. 5 is a flow diagram illustrating an example process for forming and bonding dies, according to an embodiment.





SUMMARY

Representative techniques and devices are disclosed, including process steps for making use of recesses in interconnect structures to form reliable low temperature metallic (e.g., hybrid) bonds. In various implementations, first metallic interconnect structures may be bonded at ambient temperatures to second metallic interconnect structures using direct bonding techniques, which make use of the recesses in one or both of the first and second interconnect structures. The recesses may be formed in the interconnect structures for this purpose, or recesses resulting from CMP (and the like) may be remedied with this innovative technique. Interconnect pads with larger surface areas and others with deeper dishing can particularly benefit.


In various implementations, a method for forming a microelectronic assembly includes planarizing a bonding surface of a first substrate, where the first substrate includes a first metallic pad embedded into the bonding surface of the first substrate, and depositing a first metallic material onto a surface of the first metallic pad. Also, planarizing a bonding surface of a second substrate, where the second substrate includes a second metallic pad embedded into the bonding surface of the second substrate, and depositing a second metallic material onto a surface of the second metallic pad. Then, bonding the bonding surface of the second substrate to the bonding surface of the first substrate via direct bonding without adhesive.


In an implementation, the method includes depositing the first metallic material and/or the second metallic material via immersion electroless metal deposition, or a like technique. In another implementation, the method includes forming a eutectic alloy mass between the first metallic pad and the second metallic pad, where the eutectic alloy mass or near eutectic composition alloy mass comprises an alloy of the first and second metallic materials.


The method may include forming a first recessed portion in a surface of the first metallic pad and a second recessed portion in a surface of the second metallic pad, and at least partially filling the first recessed portion with the first metallic material and at least partially filling the second recessed portion with the second metallic material. In some embodiments, the method includes depositing a conductive barrier layer onto the surface of the first metallic pad and/or the surface of the second metallic pad prior to depositing the first metallic material or the second metallic material, respectively.


In additional implementations, techniques and methods include forming a microelectronic assembly, comprising a first substrate having a bonding surface with a planarized topography and a first plurality of metallic pads or traces or combinations of thereof at the bonding surface of the first substrate and a second substrate having a bonding surface with a planarized topography, which is bonded to the bonding surface of the first substrate. A second plurality of metallic pads at the bonding surface of the second substrate are bonded to the first plurality of metallic pads. The first plurality of metallic pads and/or the second plurality of metallic pads are comprised of two or more conductive materials.


In some implementations, a first recessed portion is disposed in a surface of the first plurality of metallic pads that extends a preselected depth below the surface of the first plurality of metallic pads, or a preselected depth below the bonding surface of the first substrate. The first recessed portion is at least partially filled with a first conductive material different than a conductive material of the first plurality of metallic pads. Further, a second recessed portion may be disposed in a surface of the second plurality of metallic pads that extends a preselected depth below the surface of the second plurality of metallic pads, or a preselected depth below the bonding surface of the second substrate. The second recessed portion is at least partially filled with a second conductive material different than a conductive material of the second plurality of metallic pads.


In an alternate implementation, a barrier layer is disposed within the first recessed portion and/or the second recessed portion. The barrier layer is comprised of a third conductive material different from the first or second conductive materials and different than the conductive material of the first or second plurality of metallic pads.


In some implementations, an alloy mass (e.g., a eutectic alloy mass) is disposed between the first plurality of metallic pads and the second plurality of metallic pads, where the alloy mass comprises an alloy of the first and second conductive materials. In some examples, the region between the first plurality of metallic pads or the second plurality of metallic pads and the alloy mass is non-linear.


Various implementations and arrangements are discussed with reference to electrical and electronics components and varied carriers. While specific components dies, wafers, integrated circuit (IC) chip dies, substrates, etc.) a mentioned, this is not intended to be limiting, and is for ease of discussion and illustrative convenience. The techniques and devices discussed with reference to a wafer, die, substrate, or the like, are applicable to any type or number of electrical components, circuits (e.g., integrated circuits (IC), mixed circuits, ASICS, memory devices, processors, etc.), groups of components, packaged components, structures wafers, panels, boards, PCBs, etc.), and the like, that may be coupled to interface with each other, with external circuits, systems, carriers, and the like. Each of these different components, circuits, groups, packages, structures, and the like, can be generically referred to as a “microelectronic component.” For simplicity, unless otherwise specified, components being bonded to another component will be referred to herein as a “die.”


This summary is not intended to give a full description. Implementations are explained in more detail below using a plurality of examples. Although various implementations and examples are discussed here and below, further implementations and examples may be possible by combining the features and elements of individual implementations and examples.


DETAILED DESCRIPTION

Overview



FIGS. 1A-5 illustrate representative devices, as well as processes 100, and 300-600 for forming and preparing various microelectronic components (such as dies 102 and assemblies 118, for example) for bonding, such as for direct bonding without adhesive. The processes 100, and 300-600 include providing a bonding surface (such as bonding surface 108, for example) on the microelectronic components, or two bonding surfaces in some examples (not shown), providing conductive interconnect structures embedded into the bonding surfaces, repairing or mitigating erosion, dishing, and the like in the bonding surfaces due to processing or defects, forming microelectronic assemblies by directly bonding the microelectronic components at the bonding surfaces, and so forth.


The order in which the processes 100, and 300-600 are described is not intended to be construed as limiting, and any number of the described process blocks in any of the processes 100, and 300-600 can be combined in any order implement the processes, or alternate processes. Additionally, individual blocks may be deleted from any of the processes without departing from the spirit and scope of the subject matter described herein. Furthermore, the processes 100, and 300-600 can be implemented in any suitable hardware, software, firmware, or a combination thereof, without departing from the scope of the subject matter described herein. In alternate implementations, other techniques may be included in the processes 100, and 300-600 in various combinations and remain within the scope of the disclosure.


Referring to FIG. 1A, a representative “die” 102 may be formed using various techniques, to include a base substrate 104 and an insulating or dielectric layer 106. The base substrate 104 may be comprised of silicon, germanium, glass, quartz, a dielectric surface, direct or indirect gap semiconductor materials or layers or another suitable material. The insulating layer 106 is deposited or formed over the substrate 104, and may be comprised of an inorganic dielectric material layer such as oxide, nitride, oxynitride, oxycarbide, carbides, carbonitrides, diamond, diamond like materials, glasses, ceramics, glass-ceramics, and the like.


A bonding surface 108 of the die 102 can include conductive features 110, such as interconnect structures for example, embedded into the insulating layer 106 and arranged so that the conductive features 110 from respective bonding surfaces 108 can be mated and joined during bonding, if desired. The joined interconnect features 110 can form continuous conductive interconnects (for signals, power, etc.) between stacked dies 102.


Damascene processes (or the like) may be used to form the embedded conductive features 110 in the insulating layer 106. The conductive features 110 may be comprised of metals (e.g., copper, etc.) or other conductive materials, or combinations of materials, and include structures, traces, pads, patterns, and so forth. The conductive features 110 may be included in the insulating layer 106 to provide an electrical and/or thermal path or may instead be configured to balance out the metallization of the bonding surface 108, through the use of additional pads or so-called dummy pads, traces, patterns or the like. After the conductive features 110 are formed, the exposed surface of the die 102, including the insulating layer 106 and the conductive features 110 can be planarized to form a flat bonding surface 108.


As shown in FIG. 1A, one or more bonding surfaces 108 of a die 102, including embedded interconnect structures 110, can be planarized (using chemical-mechanical polishing (CMP), or the like) to prepare the surface(s) 108 for bonding. Forming the bonding surface 108 includes finishing the surface 108 to meet dielectric roughness specifications and metallic layer (e.g., copper, etc.) recess specifications, to prepare the surface 108 for direct bonding. In other words, the bonding surface 108 is formed to be as flat and smooth as possible, with very minimal surface topology variance. Various conventional processes, such as chemical mechanical polishing (CMP) may be used to achieve the low surface roughness. This process provides the flat, smooth surface 108 that results in a reliable bond between dies 102. In some cases, the exposed surface of the conductive features 110 may be intentionally recessed, to allow for material expansion, particularly during heated annealing, if it is to be performed.


As shown in FIG. 1B, as a result of the discontinuity in the properties (difference in mechanical properties, polishing rates, etc.) of the material (e.g., metal, etc.) of the interconnect features 110 and the dielectric material of the insulating layer 106, and their respective interactions with the polishing pad, its hardness, polishing slurry, and other process parameters, the planarizing can produce dielectric erosion (not shown) on the bonding surface 108 in areas with high metal pattern density, and dishing (i.e., recesses 112) in the exposed surface of metal features 110. In general, the higher the metal pattern density on the surface 108, the greater the erosion, and similarly, the larger the area of the surface of the metal features 110, the greater (i.e., deeper) the recesses 112. As shown at FIG. 1B, the dishing of the features 110 can create a notable variance (having a depth (“d1”), for example) in the overall surface topology of the die 102. In some cases, the topology variance may be great enough to weaken a direct bond between dies 102 or reduce the reliability of the bond at the locations of the surface variance(s) (e.g., recesses 112).


Among the consequences of excessive recesses 112 on the exposed surface of interconnect features 110 is poor flatness of the surface of the interconnect features 110 and much higher temperatures typically needed to form continuous conductive interconnections between the metal features 110 of the dies 102 than the lower temperatures often desired. With conductive features 110 having very large area pads, recesses 112 can be too deep with respect to the opposing interconnect features to mate intimately without undesirable voiding defects, as the metal may not expand enough at annealing temperatures to form a bonded continuous conductive layer. Thus, the poor flatness on the surface of the conductive features 110 often produces defective bonds, when the surface is bonded or attached to other devices or substrates.


However, in various embodiments, dishing or recesses 112 having a preselected depth (“d1”) may be intentionally formed in the surface of a conductive feature 110, to prepare the conductive feature 110 for low temperature (e.g., ambient, room temperature, less than 100° C.) bonding techniques, as disclosed herein. Intentional forming of recesses 112 at a preselected depth (“d1”) may be accomplished while forming the conductive features 110, or may be accomplished afterwards, with planarization, etching, or the like. In some embodiments, the preselected depth (“d1”) of the recess 112 may be dependent on the surface area of the exposed portion of the conductive feature 110. For instance, a conductive feature 110 with a larger surface area and/or thicker conductive layer may have or be provided with a deeper recess 112, and a conductive feature 110 with a smaller surface area and/or thinner conductive layer may have or be provided with a more shallow recess 112.


EXAMPLE EMBODIMENTS

Techniques and devices include process steps that make use of recesses 112 in interconnect features 110 to form reliable low temperature metallic bonds. In the implementations, first metallic interconnect features 110 at a first die 102 may be bonded at ambient temperatures (e.g., less than 100° C.) to second metallic interconnect features 110 at a second die 102 using direct bonding techniques, which make use of the recesses 112 in one or both of the first and second interconnect features 110. The recesses 112 may be formed in the interconnect features 110 for this purpose, or recesses 112 resulting from CMP (and the like) may be remedied with this innovative technique. Interconnect features 110 with larger surface areas and others with deeper dishing can particularly benefit.


Referring to FIGS. 1C and 1D, in an implementation, the recess(es) 112 in the metallic interconnect features 110 are filled with one or more conductive metal, etc.) fill layers 114 and/or 116 that form a conductive alloy mass 202 (see FIGS. 1F and 1G) when the interconnect features 110 with the fill layers 114, 116 are pressed together. In other words, in some embodiments, the one or more additional metal fill layers 114, 116 in each of the first and second interconnect features 110 fuse together upon intimate contact to form the conductive alloy mass 202, which also fuses to the respective first and second interconnect features 110, forming a low-temperature bonded conductive interconnect 204. In some embodiments, the conductive alloy mass 202 comprises a eutectic alloy mass or a composition close to a eutectic alloy mass.


In an embodiment, the metal fill layers 114 and 116 at the respective first and second interconnect features 110 fuse together to form the conductive alloy mass 202 at a comparatively lower temperature than needed to fuse the first and second interconnect features 110 (by annealing, etc.). The bonding temperature of the layers comprising the conductive alloy mass 202 (including fill layers 114 and 116) is lower than the bonding temperature of the opposing layers comprising the interconnect features 110 without the fill layers 114 or 116. In some embodiments, the melting point of the fill layer 114 and/or the fill layer 116 is lower than the melting point of the materials of one or both of the first and second interconnect features 110. The materials of the one or more metal fill layers 114, 116 may be selected so that the combination of materials forms an alloy with a higher melting point than either of the fill layers 114 and 116, and of the metal of the first and/or second interconnect features 110.


For instance, as shown at FIG. 1C, a first metal fill layer 114 is formed, added, deposited, coated, or the like, on the exposed surface of the first interconnect features 110 of the first die 102. The fill layer 114 is formed at a preselected thickness to reduce the recess 112 from the greater depth (“d1”) to a lesser depth (“d2”). For example, the depth (“d2”) can be a desired or preselected depth, chosen for material expansion and to allow for a reliable direct bond. In various embodiments, the depth (“d2”) may be dependent on the materials of the first interconnect features 110, the materials of the first fill layer 114, the size or area of the first interconnect features 110, the temperature and duration of the annealing process to take place, and the like.


Although only one depth (“d1”) is shown at FIG. 1B, indicating only one recess depth, two or more such depths are also possible on the same die 102 depending on the size of the pads of the first interconnect features 110, or other reasons as mentioned earlier. Adding the fill layers 114 and 116 can also form two or more corresponding depths (“d2”) at the same die 102 as well.


In an implementation, the first fill layer 114 may be selectively electrolessly plated, vapor coated, or deposited by atomic layer deposition methods (or the like) onto the surface of the first interconnect features 110. Also, the first fill layer 114 may be continuous (as shown at FIG. 1C, at 114) or discontinuous (as shown at FIG. 1C at 114′). In an embodiment, the first fill layer 114 may be comprised of indium, alloys of indium or a similar metal or material. A discontinuous fill layer 114′ is less likely to decrease the conductivity of the materials of a bonded structure including the fill layer 114,′ being a very thin layer, while still strengthening bonded material between interconnect structures 110.


As shown at FIG. 1D, a second metal fill layer 116 is formed, added, deposited, or the like, on the exposed surface of the second interconnect features 110 of the second die 102. As previously, the fill layer 116 can be formed at a preselected thickness to reduce the recess 112 to a desired depth (d2, or a depth d2′ that is not necessarily identical to the depth “d2” as shown at FIG. 1C). In an embodiment, the second fill layer 116 may be selectively electrolessly plated, vapor coated, or deposited by atomic layer deposition methods (or the like) onto the surface of the second interconnect features 110. Also similarly, the second fill layer 116 on interconnect features 110 of the second die 102 or substrate may be continuous (as shown at FIG. 1D, at 116) or discontinuous (as shown at FIG. 1D at 116′). In an embodiment, the second fill layer 116 may be comprised of gallium, tin, their respective alloys, or a similar metal or material.


In some embodiments, the first fill layer 114 and the second fill layer 116 comprise different materials. However, in an alternate embodiment, the first 114 and second 116 fill layers comprise the same material. In some alternate embodiments, additional fill layers (not shown) may be added to the first 114 and/or the second 116 fill layers (either before or after deposition of the first 114 and/or second 116 fill layers). Although fill layers 114 and 116 are discussed herein as metal layers, each may be a combination of two or more metals, two or more alloys, or the like.


The first 114 and second 116 fill layers may be deposited on the recessed interconnect features 110 by various means in different implementations, in one implementation, an electroless process, such as a direct replacement reaction or an auto-catalytical metal deposition process is used to selectively deposit the fill layer 114, 116 over the recessed interconnect features 110 (e.g., copper) with precision control. In one example, an electroless immersion deposition process may be used. In various embodiments, such a process may be used to deposit first 114 and second 116 fill layers of gold, silver, palladium, nickel, indium, gallium, cobalt, germanium, or any other metals, or combinations of the same, or the like. In the embodiments, the process maintains a low cost, since it uses no lithography, no vacuum, no heat, and no additional CMP is required after deposition.


In another embodiment, an additional CMP step may be performed on one or both the dies 102 (or wafers). Since two or more recesses 112 are possible on the die 102 (or wafer) depending on the different diameters of the pads 110, a polishing or CMP step may remove material from some pads 110 and not from others.


As shown at FIG. 1E, the first and second dies 102 are bonded using direct dielectric to dielectric bonding (without adhesive), at ambient temperature (e.g., below 100 degrees C.). This includes bringing the non-metallic regions of the prepared bonding surface 108 of each die 102 together so that the bonding surfaces 108 make contact, and bond to each other. The bonding of the first and second dies 102 forms a microelectronic assembly 118. In alternate embodiments, the insulating layer 106 of a die 102 is direct bonded to another insulating layer 106 of a same or similar material on another die 102, or in some examples the other die 102 may not have a separate insulating layer 106 and the bonding happens between the insulating layer 106 of die 102 (e.g. silicon oxide) and a silicon layer, for example, on the other die 102.


In the process, the first fill layer 114 and the second fill layer 116 are pressed together, to bond the interconnect features 110 into a solid interconnect structure 204 at a subsequent temperature lower than 200° C. and preferably lower than 170° C. or 100° C. In one embodiment, the composition of the first fill layer 114 and/or the second fill layer 116 is less than 25% and preferably less than 10% of the bonded conductive feature 204. In some embodiments, the intimate mating of the first fill layer 114 and the second fill layer 116 may comprise thermal deformation of the fill layer 114 and/or the fill layer 116. In other applications, a conductive composite comprising the materials of the interconnect features 110 and the materials of the fill layer 114 of the first die 102 may bond with a conductive composite comprising the materials of the interconnect features 110 and the materials of the fill layer 116 of the second die 102 by thermal deformation and grain boundaries diffusion processes.


As shown at FIG. 1F, the first fill layer 114 and the second fill layer 116 combine to form an alloy mass 202, which physically and electrically bonds the respective interconnect features 110, forming the interconnect structure 204. In various embodiments, the alloy mass 202 comprises a region between the first and second interconnect features 110 (of the bonded interconnect 204 formed by bonding the first and second interconnect features 110) having a non-linear concentration of materials. In other words, the first fill layer 114 and the second fill layer 116 combine to form the alloy mass 202, but the concentration of the first fill layer 114 to the second fill layer 116 is non-linear throughout the alloy mass 202 within the bonded interconnect structure 204.


In an embodiment, the alloy mass 202 comprises an electrically conductive alloy mass 202 joined to the first and second conductive interconnect features 110, where the conductive alloy mass 202 includes a first material comprising the material of the first interconnect feature 110 (of the first die 102), a second material comprising the material of the second interconnect feature 110 (of the second die 102), and at least a third material comprising the materials of either or both of the first fill 114 and the second fill 116. In an embodiment, the third material can be selected to increase the melting point of an alloy including the third material and at least one of the first material or the second material. In various embodiments, the conductive alloy mass 202 includes a third material comprising at least the first fill 114 and a fourth material comprising at least the second till 116.


In another embodiment, the eutectic alloy mass 202 comprises an electrically conductive alloy mass 202 joined to the first and second conductive interconnect features 110, where the conductive alloy mass 202 includes a first material comprising the material of the first fill 114, a second material comprising the material of the second fill 116, and at least a third material comprising an alloy or a compound of the materials of the first fill 114 and the second fill 116. In the embodiment, the third material can be selected by a selection of the first 114 and second 116 fills to increase the melting point of an alloy including the third material and at least one of the first material or the second material. In various embodiments, the conductive alloy mass 202 includes one or more layers or materials (e.g., metals or other conductive materials) in addition to the materials of the first fill 114 and the second fill 116.


In the embodiments, a concentration of the first material can vary from a relatively higher amount at a location disposed toward the first interconnect feature 110 (or the first die 102) to a relatively lower amount toward the second interconnect feature 110 (or the second die 102), and a concentration of the second material can vary in concentration from a relatively higher amount at a location disposed toward the second interconnect feature 110 (or the second die 102) to a relatively lower amount toward the first interconnect feature 110 (or the first die 102). In an embodiment, the third material has a highest concentration at a location between a first highest concentration of the first material and a second highest concentration of the second material.


In other applications, the concentration of the first fill layer 114 or second fill layer 116 in the bonded conductive feature 204 is less than 25% and preferably less than 10% of the bonded conductive feature 204. Also, in some embodiments, after the initial bonding operation, materials of the first fill layer 114 or the second fill layer 116 may diffuse and/or inter-diffuse into the first or second conductive features 110 during subsequent processing steps or during field use. Thus, the alloy mass 202 formed by the first and second fill layers 114, 116 may comprise a distinct region in the bonded conductive features 204. In other situations as discussed earlier, the first fill layer 114 or the second fill layer 116 may diffuse into the first or second conductive features 110, and their concentration can be more diffuse, where the local concentration of the first fill layer 114 or the second fill layer 116 is less than 5%, and preferably less than 1% of the surrounding conductive material (e.g., the material of interconnects 110).


In various implementations, the alloy mass 202 is formed at low temperatures (e.g., ambient, room temperature, less than 100° C.) and provides a bond with reliable strength and conductivity without higher temperature annealing. For instance, the strength and reliability of the low temperature bond including the alloy mass 202 can be equivalent to or substantially similar to a bond formed through higher temperature annealing.


Although the interconnects 204 between the bonded dies 102 may be formed using the low temperature alloy mass 202, in some embodiments, at least one or more interconnects 204 between the bonded dies 102 may be formed using a hybrid bonding of metal material (e.g. copper) from one interconnect 110 of a first die 102, to metal material (e.g. copper) from another interconnect 110 of a second die 102. For example, while some interconnects 204 are formed with an alloy 202 within the interconnect 204, there may be other interconnects 204 with no alloy 202 within the interconnect 204. In some other embodiments, the contact region between bonded interconnects 110 may be partially formed of a combination of an alloy 202, a copper-to-copper bond, or even include some voids.


In practice the bottom and the top substrates 104 may be similar or identical in geometric proportions. As shown at FIG. 1G, one or more of the substrates 104 of the bonded dies 102 (e.g., the microelectronic assembly 118) may be thinned as desired for further processing or packaging. In other applications, the bottom substrate 104 may be significantly larger than the top substrate 104. For example, the bottom substrate 104 may be comprised of a 200 or 300 mm silicon wafer, or the like, while the top substrate 104 may be comprised of a die 102 that is significantly smaller than the bottom substrate 104. Multiple dies 102 may be bonded to the planarized bonding surface 108 of the bottom substrate 104. The bonded dies 102 may be processed for additional bonding operations, for example, stacking other cleaned dies 102 to the initially bonded dies 102, and so forth. Also, the bottom larger substrate 104 may be further processed, for example, thinning or singulation operations or both, to separate the various bonded dies 102 for subsequent operations.


In various embodiments, the techniques described herein can be used to remedy or mitigate the effects of unintentionally recessed interconnect structures in the surfaces of microelectronic components to be bonded. At least partially filling the excessive recesses 112 in the interconnect features 110 with the one or more additional metal fill layers (114, 116) provides for an improved bonding surface (e.g., less surface topology variance). Direct and hybrid bonding with the improved surface flatness can result in improved and more reliable bonds between the microelectronic components (e.g., dies 102). Further, improved bonds between the interconnect features 110 of the respective dies 102 is achievable with lower temperatures due to the alloy mass 202 formed at the bond joint.


As mentioned above, in other implementations, recesses 112 may be intentionally formed in the exposed surfaces of one or more of the interconnect features 110 to be bonded, to apply the techniques described. For example, either of these scenarios can be illustrated with regard to the process 300 of FIGS. 2A-2E. As shown at FIG. 2A, in an implementation, the process 300 includes forming the conductive features 110 in the insulating layer 106 using a damascene process, for instance. A recess 112 of predetermined size and shape (e.g., area and depth “d1”) can be unintentionally or intentionally formed in the surface of one or more of the interconnect features 110 of one or both of two dies 102 to be bonded. In one embodiment, portions of conductive features 110 may be selectively partially removed with respect to the bonding surface of dielectric 106 to a predetermined depth by etching methods, or the like.


In various implementations, the recess 112 can be intentionally created by patterning and etching the features 110, for example. A photoresist can be applied (not shown) to the feature 110 surface to pattern the feature 110 for predetermined recess 112 locations. The resist can be exposed and then the surface of the feature 110 etched to form the desired recess(es) 112. In various implementations, the predetermined recesses 112 can have a depth “d1” of tens of nanometers to hundreds of nanometers (e.g., 50 to 500 nm), or even deeper as desired.


In alternate implementations, the recess 112 can be created by CMP, or the like, for example when the recess 112 is formed on a large metallic feature 110 during planarization, as described above. In an example, the depth “d1” of the recess 112 can be greater than 15 nm.


As shown at FIG. 2B, bonding the two dies 102 to form the microelectronic assembly 118 without mitigating the recesses 112 can leave voids or gaps 302 between the features 110. The gaps 302 comprise massive defects in the bonds, and can prevent the interconnect structures 204 from being formed, or from being reliably formed from the respective features 110. The massive defects from the gaps 302 can result in premature failure or low device yield.


In an embodiment, the process 300 includes adding one or more fill layers 114, 116, as described above to mitigate the recess(es) 112 and the gaps 302. For instance, as shown at FIG. 2C, a first metal fill layer 114 is formed, added, deposited, coated, or the like, on the exposed surface of the first interconnect features 110 of the first die 102, as described above. As shown at FIG. 2D, a second metal till layer 116 is formed, added, deposited, or the like, on the exposed surface of the second interconnect features 110 of the second die 102, as described above.


As shown at FIG. 2E, the first and second dies 102 are bonded using direct dielectric to dielectric bonding (without adhesive), at room temperature (e.g., below 100 degrees C.). The bonding of the first and second dies 102 forms a microelectronic assembly 118. In the process, the first fill layer 114 and the second fill layer 116 are pressed together, to bond the interconnect features 110 into a solid interconnect structure 204, with the first fill layer 114 and the second fill layer 116 combining to form an alloy mass 202, which physically and electrically bonds the respective interconnect features 110, forming the interconnect structure 204. In some embodiments, the composition of the alloy mass 202 may comprise a eutectic alloy or a composition close to an eutectic alloy mass.


In some embodiments, a small gap may remain within the alloy mass 202 after bonding (not shown). If the gap is small, it may be inconsequential. However, if the gap is large, it may lead to failures. In that case, the thickness of one or both of the first 114 and/or second 116 fill layers can be increased prior to bonding. Alternately, one or more additional fill layers can be added (additional to the first 114 and second 116 fill layers) prior to bonding to reduce or eliminate the gap.


In various embodiments, the alloy mass 202 has a non-linear concentration of materials, as described above. For example, within the alloy mass 202, a concentration of the material of the first fill 114 can vary from a relatively higher amount at a location disposed toward the first interconnect feature 110 (or the first die 102) to a relatively lower amount toward the second interconnect feature 110 (or the second die 102), and a concentration of the material of the second fill can vary in concentration from a relatively higher amount at a location disposed toward the second interconnect feature 110 (or the second die 102) to a relatively lower amount toward the first interconnect feature 110 (or the first die 102). In an embodiment, a third material comprising an alloy or compound of the first 114 and second 116 materials has a highest concentration within the alloy mass 202 at a location between a first highest concentration of the first material and a second highest concentration of the second material.


In various implementations, the alloy mass 202 is formed at low temperatures (e.g., ambient, room temperature, less than 150° C.) and provides a bond with reliable strength and adequate conductivity. For instance, the strength of the low temperature bond including the alloy mass 202 is equivalent to or substantially similar to a bond formed through higher temperature annealing.


Referring to FIGS. 3A-3C, a process 400 is shown as an alternate implementation of the process 300. For example, FIGS. 3A, 3B, and 3C may be inserted as additional or alternate process steps for the process 300. At FIGS. 3A and 33, barrier layers 402 and/or 404 (such as nickel, nickel alloys or the like) are deposited onto the recess 112 of one or both dies 102 prior to the first 114 and/or second 116 fill layers. As the dies 102 are bonded together, as shown at FIG. 3C, the first 114 and second 116 fill layers are mated intimately, and form the alloy mass 202 as described above. In alternate embodiments, the barrier layers 402 and/or 404 may or may not combine with the fill layers 114, 116 and/or feature 110 materials to become part of the alloy mass 202.



FIGS. 4A-4C show a process 500 illustrating the use of electroless metal deposition, as a technique for forming the first fill layer 114 and/or the second fill layer 116. The process 500 may be particularly useful in cases where the recess 112 may be particularly deep (e.g., “d1”>50 nm), FIG. 4A illustrates a die 102 with an excessively deep recess 112, either intentionally or unintentionally (e.g., CMP planarization). In an embodiment, the excessively deep recess 112 can be due to one of the dimensions of the recess 112, for example (e.g., greater than 0.05 mm) or the large size of the surface area of the features 110.


At FIG. 4B, an electroless process, such as direct replacement reaction or auto-catalytical metal deposition, for instance, is used to selectively deposit the fill layer 114 onto the exposed surface of the conductive feature 110 with precision control. The till layer 114 is deposited at a preselected thickness to reduce the recess 112 to a predetermined depth (“d2”) as desired, to meet direct bonding requirements.


In an implementation, the electroless process comprises an immersion silver, copper, or gold process. In another implementation, a seed deposition of palladium, for example, is followed by a deposition of nickel, or the like. For instance, the nickel deposition may be made by a hypophosphite-based immersion process, after depositing the seed layer. In various embodiments, the reducing agent for the immersion process includes one or more of sodium hypophosphite, sodium borohydride, dimethylamine borane, hydrazine, or the like.


At FIG. 4C, two of the dies 102 with the fill layers 114 are bonded together to form the microelectronic assembly 118. As above, the fill layers 114 form an alloy mass 202, which bonds (at low temperatures) the conductive features 110 to form a solid interconnect structure 204.


The electroless deposition technique has several advantages, including the lack of a need for lithography, no vacuum or heat is used, and no additional CMP is required after deposition. Other advantages will also be apparent to a person having skill in the art,



FIG. 5 is a flow diagram illustrating an example process 600 for forming and bonding microelectronic components (such as dies 102, for example), according to an embodiment. For instance, at block 602, the process includes planarizing a bonding surface (such as the bonding surface 108, for example) of a first substrate (such as a first die 102, for example). In the embodiment, the first substrate includes a first metallic pad (such as conductive feature 110, for example) embedded into the bonding surface of the first substrate.


At block 604, the process includes depositing a first metallic material (such as first fill layer 114, for example) onto a surface of the first metallic pad. In an implementation, the process includes forming a first recessed portion (such as recess 112, for example) in a surface of the first metallic pad and at least partially filling the first recessed portion with the first metallic material. In various embodiments, the recess is the product of one or more process steps, and may be intentional or unintentional.


In an implementation, the process includes depositing a conductive barrier layer (such as barrier 402, for example) onto the surface of the first metallic pad prior to depositing the first metallic material. In an alternate embodiment, the process includes depositing multiple fill layers, barrier layers, or combinations of the same.


At block 606, the process includes planarizing a bonding surface of a second substrate (such as a second die 102, for example). The second substrate includes a second metallic pad embedded into the bonding surface of the second substrate.


At block 608, the process includes depositing a second metallic material (such as second fill layer 116, for example) onto a surface of the second metallic pad. In an implementation, the process includes forming a second recessed portion in a surface of the second metallic pad and at least partially filling the second recessed portion with the second metallic material. In various embodiments, if present, the recess is the product of one or more process steps, and may be intentional or unintentional.


In an implementation, the process includes depositing a conductive barrier layer (such as barrier layer 404, for example) onto the surface of the second metallic pad prior to depositing the second metallic material. In an alternate embodiment, the process includes depositing multiple fill layers, barrier layers, or combinations of the same.


In an implementation, the process includes depositing the first metallic material and/or the second metallic material via immersion electroless metal deposition. In some embodiments, the melting point of the first fill layer and/or the second fill layer may be higher than the melting point of the conductive features 110. For example, the first fill layer and/or the second fill layer may be comprised of a very thin layer of nickel, cobalt, or manganese, or their various alloys, while the conductive features may be comprised of copper or of copper alloys, for example.


The deposited first fill layer and/or the second fill layer may be continuous or discontinuous, and the thickness of the first fill layer and/or the second fill layer may range between 0.5 to 500 nm, and preferably between 1 to less than 50 nm. In some embodiments, the first fill layer and/or the second fill layer may be comprised of an alloy of at least one higher-temperature melting point metal and at least one lower-temperature melting point metal, for example, a cobalt-tin or cobalt-indium alloy, or the like, or an element with a relatively low concentration of one or more impurities. The composition of the alloy or element may include a proportion of the fill material (or impurities) that is less than 2% of the total composition of the interconnect pads combined, or less than 5000 ppm, or less than 500 ppm.


In one embodiment, the first fill layer and/or the second fill layer is thinner than the respective conductive feature. In one application, the first till layer and/or the second fill layer is at least 5 times thinner than the thickness of the respective conductive feature.


At block 610, the process includes bonding the bonding surface of the second substrate to the bonding surface of the first substrate via direct dielectric-to-dielectric bonding without adhesive. In an implementation, the process includes pressing the first metallic pad to the second metallic pad during, the bonding, and forming an alloy mass (such as alloy mass 204, for example) between the first metallic pad and the second metallic pad. In the implementation, the alloy mass comprises an alloy of the first and second metallic materials. In an embodiment, the process includes bonding the second metallic pad to the first metallic pad via the alloy mass, to form a single solid interconnect structure (such as interconnect structure 204, for example). In another embodiment, a concentration of the first metallic material and a concentration of the second metallic material of the alloy mass is non-linear throughout the alloy mass.


During the bonding process, the conductive features of the top substrate and the composite conductive features of the bottom substrate (comprising the lower melting point conductive features and the higher melting point fill layer), thermally deforms to mate intimately, and atoms from the conductive features (for example copper) diffuse into the fill layer (for example cobalt). In this configuration, the bonded substrate can include a portion having a conductive interconnect structure comprised of mostly copper, for example, and another portion having an interconnect structure including an alloy mass (for example, a copper-cobalt alloy mass) comprised of the conductive feature (e.g., copper) and a fill layer (e.g., cobalt).


In various embodiments, some process steps may be modified or eliminated, in comparison to the process steps described herein.


The techniques, components, and devices described herein are not limited to the illustrations of FIGS. 1A-5, and may be applied to other designs, types, arrangements, and constructions including with other electrical components without departing from the scope of the disclosure. In some cases, additional or alternative components, techniques, sequences, or processes may be used to implement the techniques described herein. Further, the components and/or techniques may be arranged and/or combined in various combinations, while resulting in similar or approximately identical results.


CONCLUSION

Although the implementations of the disclosure have been described in language specific to structural features and/or methodological acts, it is to be understood that the implementations are not necessarily limited to the specific features or acts described. Rather, the specific features and acts are disclosed as representative forms of implementing example devices and techniques.

Claims
  • 1. A microelectronic assembly, comprising: a first substrate having a first bonding surface;a first metallic feature disposed at the first bonding surface of the first substrate, and having a first conductive material disposed on a surface of the first metallic feature;a second substrate having a second bonding surface; the second bonding surface bonded to the bonding surface of the first substrate;a second metallic feature disposed at the second bonding surface, and having a second conductive material disposed on a surface of the second metallic feature, wherein the second metallic feature is bonded to the first metallic feature; andan alloy mass disposed between the first metallic feature and the second metallic feature, the alloy mass comprising an alloy of the first and the second conductive materials, wherein a concentration of the first conductive material and a concentration of the second conductive material of the alloy mass is non-linear throughout the alloy mass.
  • 2. The microelectronic assembly of claim 1, further comprising a first recessed portion disposed in a surface of the first metallic feature and extending a preselected depth below the bonding surface of the first substrate, the first recessed portion at least partially filled with the first conductive material, wherein the first conductive material is different than a conductive material of the first metallic feature.
  • 3. The microelectronic assembly of claim 2, further comprising a second recessed portion disposed in a surface of the second metallic feature and extending a preselected depth below the bonding surface of the second substrate, the second recessed portion at least partially filled with the second conductive material, wherein the second conductive material is different than a conductive material of the second metallic feature.
  • 4. The microelectronic assembly of claim 3, further comprising a barrier layer disposed within the first recessed portion, the second recessed portion, or the first recessed portion and the second recessed portion, the barrier layer comprising a third conductive material different from the first or second conductive materials and different than the conductive materials of the first or second metallic features.
  • 5. The microelectronic assembly of claim 1, wherein a melting point of the alloy mass is higher than respective melting points of the first and second conductive materials.
  • 6. The microelectronic assembly of claim 1, wherein the bonding surface of the second substrate is bonded to the bonding surface of the first substrate via direct bonding without adhesive.
  • 7. The microelectronic assembly of claim 1, wherein the first conductive material comprises a discontinuous layer on the surface of the first metallic feature and/or the second conductive material comprises a discontinuous layer on the surface of the second metallic feature, the first conductive material being different from a material of the first metallic feature and the second conductive material being different from a material of the second metallic feature.
  • 8. A microelectronic assembly, comprising: a first substrate having a first surface with a first conductive interconnect structure;a second substrate having a second surface with a second conductive interconnect structure, the first surface bonded to the second surface and the first conductive interconnect structure bonded to the second conductive interconnect structure; andan electrically conductive alloy mass coupling the first conductive interconnect structure to the second conductive interconnect structure, wherein the conductive alloy mass includes a first material, a second material, and a third material, wherein a concentration of the first material, a concentration of the second material, and a concentration of the third material is non-linear throughout the alloy mass.
  • 9. The microelectronic assembly of claim 8, further comprising a first recessed portion disposed in a surface of the first conductive interconnect structure and a second recessed portion disposed in a surface of the second conductive interconnect structure, the first recessed portion at least partially filled with the first material and the second recessed portion at least partially filled with the second material.
  • 10. The microelectronic assembly of claim 9, further comprising a conductive barrier layer disposed within the first recessed portion and/or the second recessed portion.
  • 11. The microelectronic assembly of claim 8, wherein the alloy mass comprises a eutectic alloy mass.
  • 12. The microelectronic assembly of claim 8, wherein a concentration of the first material varies from a relatively higher amount at a location disposed toward the first conductive interconnect structure to a relatively lower amount toward the second conductive interconnect structure, wherein a concentration of the second material varies in concentration from a relatively higher amount at a location disposed toward the second conductive interconnect structure to a relatively lower amount toward the first conductive interconnect structure, and wherein the third material has a highest concentration at a location between a first highest concentration of the first material and a second highest concentration of the second material.
  • 13. The microelectronic assembly of claim 8, wherein the first conductive interconnect structure is recessed relative to the first surface of the first substrate and the second conductive interconnect structure is recessed relative to the second surface of the second substrate.
  • 14. The microelectronic assembly of claim 8, further comprising a first non-metallic region located at the first surface proximate to the first conductive interconnect structure and a second non-metallic region located at the second surface proximate to the second conductive interconnect structure, the second non-metallic region in contact with and directly bonded to the first non-metallic region without adhesive.
  • 15. A microelectronic assembly, comprising: a first substrate having a first surface with a first conductive structure;a second substrate having a second surface with a second conductive structure; the first substrate intimately bonded to the second substrate and the first conductive structure bonded to the second conductive structure; andan electrically conductive alloy region coupling the first conductive structure to the second conductive structure, wherein the conductive alloy region includes a first material and a second material, and wherein a volume of the first material or a volume of the second material is less than 10% of a volume of the first conductive structure and the second conductive structure combined.
  • 16. The microelectronic assembly of claim 15, wherein the first material, the second material, or the first material and the second material comprises palladium or cobalt.
  • 17. The microelectronic assembly of claim 15, wherein the volume of the first material or the volume of the second material is less than 5% of the volume of the first conductive structure and the second conductive structure combined.
  • 18. The microelectronic assembly of claim 15, wherein the first substrate is directly bonded to the second substrate without adhesive and the first conductive structure and the second conductive structure are bonded by thermal deformation.
  • 19. A microelectronic assembly, comprising: a first substrate having a first surface with a first conductive structure, a portion of e first conductive structure comprising a first conductive material;a second substrate having a second surface with a second conductive structure, the first surface of the first substrate intimately bonded to the second surface of the second substrate and the first conductive structure bonded to the second conductive structure; andan electrically conductive alloy region coupling the first conductive structure to the second conductive structure, wherein the alloy region includes the first conductive material, the first conductive material having a higher melting point than a melting point of portions of the first conductive structure or the second conductive structure.
  • 20. The microelectronic assembly of claim 19, wherein the first conductive structure, the second conductive structure, or the first conductive structure and the second conductive structure are comprised of multiple layers of different conductive materials.
  • 21. The microelectronic assembly of claim 19, wherein the alloy region comprises cobalt, nickel, and/or manganese.
  • 22. The microelectronic assembly of claim 19, wherein the alloy region has a thickness that is less than a thickness of the first conductive structure or the second conductive structure.
PRIORITY CLAIM AND CROSS-REFERENCE TO RELATED APPLICATION

This application is a Continuation of U.S. patent application Ser. No. 16/363,894, filed Mar. 25, 2019, which claims the benefit under 35 U.S.C. § 119(e)(1) of U.S. Provisional Application No. 62/656,264, filed Apr. 11, 2018, both of which are hereby incorporated by reference in their entirety.

US Referenced Citations (280)
Number Name Date Kind
4939568 Kato et al. Jul 1990 A
4998665 Hayashi Mar 1991 A
5087585 Hayashi Feb 1992 A
5236118 Bower et al. Aug 1993 A
5322593 Hasegawa et al. Jun 1994 A
5413952 Pages et al. May 1995 A
5442235 Parrillo et al. Aug 1995 A
5489804 Pasch Feb 1996 A
5501003 Bernstein Mar 1996 A
5503704 Bower et al. Apr 1996 A
5516727 Broom May 1996 A
5610431 Martin Mar 1997 A
5734199 Kawakita et al. Mar 1998 A
5753536 Sugiyama et al. May 1998 A
5771555 Eda et al. Jun 1998 A
5821692 Rogers et al. Oct 1998 A
5866942 Suzuki et al. Feb 1999 A
5985739 Plettner et al. Nov 1999 A
5998808 Matsushita Dec 1999 A
6008126 Leedy Dec 1999 A
6063968 Hubner et al. May 2000 A
6071761 Jacobs Jun 2000 A
6080640 Gardner et al. Jun 2000 A
6097096 Gardner et al. Aug 2000 A
6123825 Uzoh et al. Sep 2000 A
6147000 You et al. Nov 2000 A
6232150 Lin et al. May 2001 B1
6258625 Brofman et al. Jul 2001 B1
6259160 Lopatin et al. Jul 2001 B1
6265775 Seyyedy Jul 2001 B1
6297072 Tilmans et al. Oct 2001 B1
6316786 Mueller et al. Nov 2001 B1
6333120 DeHaven et al. Dec 2001 B1
6333206 Ito et al. Dec 2001 B1
6348709 Graettinger et al. Feb 2002 B1
6374770 Lee Apr 2002 B1
6409904 Uzoh et al. Jun 2002 B1
6423640 Lee et al. Jul 2002 B1
6465892 Suga Oct 2002 B1
6528894 Akram et al. Mar 2003 B1
6552436 Burnette et al. Apr 2003 B2
6555917 Heo Apr 2003 B1
6579744 Jiang Jun 2003 B1
6583515 James et al. Jun 2003 B1
6589813 Park Jul 2003 B1
6600224 Farquhar et al. Jul 2003 B1
6624003 Rice Sep 2003 B1
6627814 Stark Sep 2003 B1
6632377 Brusic et al. Oct 2003 B1
6660564 Brady Dec 2003 B2
6667225 Hau-Riege et al. Dec 2003 B2
6828686 Park Dec 2004 B2
6837979 Uzoh et al. Jan 2005 B2
6864585 Enquist Mar 2005 B2
6887769 Kellar et al. May 2005 B2
6902987 Tong et al. Jun 2005 B1
6908027 Tolchinsky et al. Jun 2005 B2
6909194 Farnworth et al. Jun 2005 B2
6962835 Tong et al. Nov 2005 B2
6974769 Basol et al. Dec 2005 B2
7045453 Canaperi et al. May 2006 B2
7078811 Suga Jul 2006 B2
7105980 Abbott et al. Sep 2006 B2
7109063 Jiang Sep 2006 B2
7126212 Enquist et al. Oct 2006 B2
7193423 Dalton et al. Mar 2007 B1
7354798 Pogge et al. Apr 2008 B2
7485968 Enquist et al. Feb 2009 B2
7750488 Patti et al. Jul 2010 B2
7803693 Trezza Sep 2010 B2
7998335 Feeney et al. Aug 2011 B2
8039966 Yang et al. Oct 2011 B2
8168532 Haneda et al. May 2012 B2
8183127 Patti et al. May 2012 B2
8241961 Kim et al. Aug 2012 B2
8242600 Yang et al. Aug 2012 B2
8314007 Vaufredaz Nov 2012 B2
8349635 Gan et al. Jan 2013 B1
8377798 Peng et al. Feb 2013 B2
8435421 Keleher et al. May 2013 B2
8441131 Ryan May 2013 B2
8476146 Chen et al. Jul 2013 B2
8476165 Trickett et al. Jul 2013 B2
8482132 Yang et al. Jul 2013 B2
8501537 Sadaka et al. Aug 2013 B2
8524533 Tong et al. Sep 2013 B2
8620164 Heck et al. Dec 2013 B2
8647987 Yang et al. Feb 2014 B2
8697493 Sadaka Apr 2014 B2
8716105 Sadaka et al. May 2014 B2
8802538 Liu Aug 2014 B1
8809123 Liu et al. Aug 2014 B2
8841002 Tong Sep 2014 B2
8988299 Kam et al. Mar 2015 B2
9000600 Uzoh et al. Apr 2015 B2
9093350 Endo et al. Jul 2015 B2
9142517 Liu Sep 2015 B2
9171756 Enquist et al. Oct 2015 B2
9184125 Enquist et al. Nov 2015 B2
9224704 Landru Dec 2015 B2
9230941 Chen et al. Jan 2016 B2
9257399 Kuang et al. Feb 2016 B2
9269612 Chen et al. Feb 2016 B2
9299736 Chen et al. Mar 2016 B2
9312229 Chen et al. Apr 2016 B2
9331149 Tong et al. May 2016 B2
9337235 Chen et al. May 2016 B2
9343330 Brusic et al. May 2016 B2
9349669 Uzoh et al. May 2016 B2
9368866 Yu Jun 2016 B2
9385024 Tong et al. Jul 2016 B2
9394161 Cheng et al. Jul 2016 B2
9431368 Enquist et al. Aug 2016 B2
9437572 Chen et al. Sep 2016 B2
9443796 Chou et al. Sep 2016 B2
9461007 Chun et al. Oct 2016 B2
9496239 Edelstein et al. Nov 2016 B1
9536848 England et al. Jan 2017 B2
9559081 Lai et al. Jan 2017 B1
9620434 Joshi Apr 2017 B1
9620481 Edelstein et al. Apr 2017 B2
9656852 Cheng et al. May 2017 B2
9723716 Meinhold Aug 2017 B2
9728521 Tsai et al. Aug 2017 B2
9741620 Uzoh et al. Aug 2017 B2
9799587 Fujii et al. Oct 2017 B2
9852988 Enquist et al. Dec 2017 B2
9881882 Hsu et al. Jan 2018 B2
9893004 Yazdani Feb 2018 B2
9899442 Katkar Feb 2018 B2
9929050 Lin Mar 2018 B2
9941241 Edelstein et al. Apr 2018 B2
9941243 Kim et al. Apr 2018 B2
9953941 Enquist Apr 2018 B2
9960142 Chen et al. May 2018 B2
10002844 Wang et al. Jun 2018 B1
10026605 Doub et al. Jul 2018 B2
10075657 Fahim et al. Sep 2018 B2
10147641 Enquist et al. Dec 2018 B2
10204893 Uzoh et al. Feb 2019 B2
10269708 Enquist et al. Apr 2019 B2
10269756 Uzoh Apr 2019 B2
10276619 Kao et al. Apr 2019 B2
10276909 Huang et al. Apr 2019 B2
10418277 Cheng et al. Sep 2019 B2
10434749 Tong et al. Oct 2019 B2
10446456 Shen et al. Oct 2019 B2
10446487 Huang et al. Oct 2019 B2
10446532 Uzoh et al. Oct 2019 B2
10508030 Katkar et al. Dec 2019 B2
10515913 Katkar et al. Dec 2019 B2
10522499 Enquist et al. Dec 2019 B2
10707087 Uzoh et al. Jul 2020 B2
10784191 Huang et al. Sep 2020 B2
10790262 Uzoh et al. Sep 2020 B2
10840205 Fountain, Jr. et al. Nov 2020 B2
10879212 Uzoh et al. Dec 2020 B2
10923408 Huang et al. Feb 2021 B2
10964664 Mandalapu et al. Mar 2021 B2
10998292 Lee et al. May 2021 B2
11004757 Katkar et al. May 2021 B2
11011494 Gao et al. May 2021 B2
11031285 Katkar et al. Jun 2021 B2
11056348 Theil Jul 2021 B2
11088099 Katkar et al. Aug 2021 B2
11158606 Gao et al. Oct 2021 B2
11171117 Gao et al. Nov 2021 B2
11244920 Uzoh Feb 2022 B2
11256004 Haba et al. Feb 2022 B2
11276676 Enquist et al. Mar 2022 B2
20020000328 Motomura et al. Jan 2002 A1
20020003307 Suga Jan 2002 A1
20020025665 Juengling Feb 2002 A1
20020074670 Suga Jun 2002 A1
20020094661 Enquist et al. Jul 2002 A1
20030092220 Akram May 2003 A1
20030157748 Kim et al. Aug 2003 A1
20040084414 Sakai et al. May 2004 A1
20060024950 Choi et al. Feb 2006 A1
20060057945 Hsu et al. Mar 2006 A1
20060097354 Ogihara May 2006 A1
20070096294 Ikeda et al. May 2007 A1
20070111386 Kim et al. May 2007 A1
20080122092 Hong May 2008 A1
20080237053 Andricacos et al. Oct 2008 A1
20090197408 Lehr et al. Aug 2009 A1
20090200668 Yang et al. Aug 2009 A1
20100255262 Chen et al. Oct 2010 A1
20100327443 Kim Dec 2010 A1
20110042815 Ikeda Feb 2011 A1
20110074040 Frank et al. Mar 2011 A1
20110084403 Yang et al. Apr 2011 A1
20120211894 Aoyagi Aug 2012 A1
20120212384 Kam et al. Aug 2012 A1
20130020704 Sadaka Jan 2013 A1
20130221527 Yang et al. Aug 2013 A1
20130252399 Leduc Sep 2013 A1
20130270327 Yoon Oct 2013 A1
20130320556 Liu et al. Dec 2013 A1
20140153210 Uzoh Jun 2014 A1
20140175655 Chen et al. Jun 2014 A1
20140225795 Yu Aug 2014 A1
20140252635 Tran et al. Sep 2014 A1
20140264948 Chou et al. Sep 2014 A1
20140353828 Edelstein et al. Dec 2014 A1
20150064498 Tong Mar 2015 A1
20150108644 Kuang et al. Apr 2015 A1
20150206823 Lin et al. Jul 2015 A1
20150340269 Rivoire et al. Nov 2015 A1
20150380368 Momose et al. Dec 2015 A1
20160020183 Chuang et al. Jan 2016 A1
20160133598 Baudin et al. May 2016 A1
20160276383 Chuang et al. Sep 2016 A1
20160343682 Kawasaki Nov 2016 A1
20170012016 Joshi Jan 2017 A1
20170047307 Uzoh Feb 2017 A1
20170069575 Haba et al. Mar 2017 A1
20170194271 Hsu et al. Jul 2017 A1
20170355040 Utsumi et al. Dec 2017 A1
20170358553 Kim Dec 2017 A1
20180151523 Chen et al. May 2018 A1
20180166411 Hine Jun 2018 A1
20180175012 Wu et al. Jun 2018 A1
20180182639 Uzoh et al. Jun 2018 A1
20180182665 Uzoh et al. Jun 2018 A1
20180182666 Uzoh et al. Jun 2018 A1
20180190580 Haba et al. Jul 2018 A1
20180190583 DeLaCruz et al. Jul 2018 A1
20180219038 Gambino et al. Aug 2018 A1
20180273377 Katkar et al. Sep 2018 A1
20180295718 Uzoh et al. Oct 2018 A1
20180323177 Yu et al. Nov 2018 A1
20180323227 Zhang et al. Nov 2018 A1
20180331066 Uzoh et al. Nov 2018 A1
20190096741 Uzoh et al. Mar 2019 A1
20190115277 Yu et al. Apr 2019 A1
20190131277 Yang et al. May 2019 A1
20190198407 Huang et al. Jun 2019 A1
20190198409 Katkar et al. Jun 2019 A1
20190265411 Huang et al. Aug 2019 A1
20190333550 Fisch Oct 2019 A1
20190348336 Katkar et al. Nov 2019 A1
20190385935 Gao et al. Dec 2019 A1
20190385966 Gao et al. Dec 2019 A1
20190393086 Uzoh Dec 2019 A1
20200006280 Shah et al. Jan 2020 A1
20200013637 Haba Jan 2020 A1
20200013765 Fountain, Jr. et al. Jan 2020 A1
20200035641 Fountain, Jr. et al. Jan 2020 A1
20200075520 Gao et al. Mar 2020 A1
20200126906 Uzoh et al. Apr 2020 A1
20200194396 Uzoh Jun 2020 A1
20200227367 Haba et al. Jul 2020 A1
20200243380 Uzoh et al. Jul 2020 A1
20200279821 Haba et al. Sep 2020 A1
20200294908 Haba et al. Sep 2020 A1
20200328162 Haba et al. Oct 2020 A1
20200328164 DeLaCruz et al. Oct 2020 A1
20200328165 DeLaCruz et al. Oct 2020 A1
20200335408 Gao et al. Oct 2020 A1
20200365575 Uzoh et al. Nov 2020 A1
20200371154 DeLaCruz et al. Nov 2020 A1
20200395321 Katkar et al. Dec 2020 A1
20200411483 Uzoh et al. Dec 2020 A1
20210098412 Haba et al. Apr 2021 A1
20210181510 Katkar et al. Jun 2021 A1
20210193603 Katkar et al. Jun 2021 A1
20210193625 DeLaCruz et al. Jun 2021 A1
20210242152 Fountain, Jr. et al. Aug 2021 A1
20210296282 Gao et al. Sep 2021 A1
20210305202 Uzoh et al. Sep 2021 A1
20210335737 Katkar et al. Oct 2021 A1
20210366820 Uzoh Nov 2021 A1
20210407941 Haba Dec 2021 A1
20220077063 Haba Mar 2022 A1
20220077087 Haba Mar 2022 A1
20220130787 Uzoh Apr 2022 A1
20220139867 Uzoh May 2022 A1
20220139869 Gao et al. May 2022 A1
20220165692 Uzoh et al. May 2022 A1
Foreign Referenced Citations (6)
Number Date Country
2002-353416 Dec 2002 JP
2013-33786 Feb 2013 JP
2018-129475 Aug 2018 JP
2018-160519 Oct 2018 JP
10-2016-0066272 Jun 2016 KR
2005-043584 May 2005 WO
Non-Patent Literature Citations (38)
Entry
Ker, Ming-Dou et al., “Fully Process-Compatible Layout Design on Bond Pad to Improve Wire Bond Reliability in CMOS ICs,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
Moriceau, H. et al., “Overview of Recent Direct Wafer Bonding Advances and Applications”, Advances in Natural Sciences—Nanoscience and Nanotechnology, 2010, 12 pages.
Nakanishi, H. et al., “Studies on SiO2-SiO2 Bonding with Hydrofluoric Acid. Room Temperature and Low Stress Bonding Technique for MEMS,” Tech. Research Lab., 200, Elsevier Science S.A., 8 pages.
Oberhammer et al., “Sealing of Adhesive Bonded Devices on Wafer Level,” in Sensors and Actuators A, vol. 110, No. 1-3, pp. 407-412, Feb. 29, 2004, see pp. 407-412; and figures 1(a)-1(I), 6 pages.
Plobi et al., “Wafer Direct Bonding: Tailoring Adhesion Between Brittle Materials,” Materials Science and Engineering Review Journal, 1999, 88 pages.
Suga et al., “Bump-less Interconnect for Next Generation System Packaging”, IEEE, 2001 and ECTC, 2001, 6 pages.
Suga et al., “Feasibility of surface activated bonding for ultra-fine pitch interconnection—a new concept of bump-less direct bonding for system level packaging”, IEEE, 2000, 1 page.
International Search Report and Written Opinion for PCT/US2019/024083, dated Jul. 11, 2019, 15 pages.
Akolkar, R., “Current status and advances in Damascene Electrodeposition,” Encyclopedia of Interfacial Chemistry: Surface Science and Electrochemistry, 2017, 8 pages.
Che, F.X. et al., “Study on Cu protrusion of through-silicon via,” IEEE Transactions on Components, Packaging and Manufacturing Technology, May 2013, vol. 3, No. 5, pp. 732-739.
Dela Pena, Eden M. et al., “Electrodeposited copper using direct and pulse currents from electrolytes containing low concentration of additives,” School of Chemical and Process Engineering, University of Strathclyde, 2018 Surface and Coating Technology, 40 pages.
De Messemaeker, Joke et al., “Correlation between Cu microstructure and TSV Cu pumping,” 2014 Electronic Components & Technology Conference, pp. 613-619.
Di Cioccio, L. et al., “An overview of patterned metal/dielectric surface bonding: Mechanism, alignment and characterization,” Journal of The Electrochemical Society, 2011, vol. 158, No. 6, pp. P81-P86.
Ganesan, Kousik, “Capable copper electrodeposition process for integrated circuit—substrate packaging manufacturing,” A dissertation presented in partial fulfillment of the requirments for the degree Doctor of Philosophy, Arizona State University, May 2018, 320 pages.
Gondcharton, P. et al., “Kinetics of low temperature direct copper-copper bonding,” Microsyst Technol, 2015, vol. 21, pp. 995-1001.
Heryanto, A. et al., “Effect of copper TSV annealing on via protrustion for TSV wafer fabrication,” Journal of Electronic Materials, 2012, vol. 41, No. 9, pp. 2533-2542.
Hobbs, Anthony et al., “Evolution of grain and micro-void structure in electroplated copper interconnects,” Materials Transactions, 2002, vol. 43, No. 7, pp. 1629-1632.
Huang, Q., “Effects of impurity elements on isothermal grain growth of electroplated copper,” Journal of The Electrochemical Society, 2018, vol. 165, No. 7, pp. D251-D257.
Huang, Q., “Impurities in the electroplated sub-50 nm Cu lines: The effects of the plating additives,” Journal of The Electrochemical Society, 2014, vol. 161, No. 9, pp. D388-D394.
Jiang, T. et al., “Plasticity mechanism for copper extrusion in through-silicon vias for three-dimensional interconnects,” Applied Physics Letters, 2013, vol. 103, pp. 211906-1-211906-5.
Juang, Jing-Ye et al., “Copper-to-copper direct bonding on highly (111)-oriented nanotwinned copper in no-vacuum ambient,” Scientific Reports, Sep. 17, 2018, vol. 8, 11 pages.
Kim, Myung Jun et al., “Characteristics of pulse-reverse electrodeposited Cu thin film,” I. Effects of Anodic Step in the Absence of an Organic Additives, Journal of The Electrochemical Society, 2012, vol. 159, No. 9, pp. D538-D543.
Kim, Myung Jun et al., “Characteristics of pulse-reverse electrodeposited Cu thin film,” II. Effects of Organic Additives, Journal of The Electrochemical Society, 2012, vol. 159, No. 9, pp. D544-D548.
Liu, C. et al., “Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu,” Scientific Reports, May 12, 2015, 5:09734, pp. 1-11.
Liu, Chien-Min et al., “Effect of grain orientations of Cu seed layers on the growth of <111>-oriented nanotwinned Cu,” Scientific Reports, 2014, vol. 4, No. 6123, 4 pages.
Liu, Zi-Yu et al. “Detection and formation mechanism of micro-defects in ultrafine pitch Cu-Cu direct bonding,” Chin. Phys. B, 2016, vol. 25, No. 1, pp. 018103-1-018103-7.
Lu, L. et al., “Grain growth and strain release in nanocrystalline copper,” Journal of Applied Physics, vol. 89, Issue 11, pp. 6408.
Mendez, Julie Marie, “Characterization of copper electroplating and electropolishing processes for semiconductor interconnect metallization,” Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy, Department of Chemical Engineering, Case Western Reserve University, Aug. 2009, 140 pages.
Menk, L.A. et al., “Galvanostatic plating with a single additive electrolyte for bottom-up filling of copper in Mesoscale TSVs,” Microsystems and Engineering Sciences Applications (MESA) Complex, Sandia National Laboratories, Albuquerque, New Mexico, 2019 J. Electrochem. Soc. 166, 17 pages.
Mott, D. et al., “Synthesis of size-controlled and shaped copper nanoparticles,” Langmuir, 2007, vol. 23, No. 10, pp. 5740-5745.
Ortleb, Thomas et al., “Controlling macro and micro surface topography for a 45nm copper CMP process using a high resolution profiler,” Proc. Of SPIE, 2008, vol. 6922, 11 pages.
Parthasaradhy, N.V., “Practical Electroplating Handbook,” 1989, Prentice-Hall, Inc., pp. 54-56.
Saraswat, Stanford Presentation, Cu Interconnect slides, web page web.stanford.edu/class/ee311/NOTES/Cu_Interconnect_Slides.pdf, 19 pages.
Song, Xiaohui, “Atomic study of copper-copper bonding using nanoparticles,” Journal of Electronic Packaging, Jun. 2020, vol. 142, 5 pages.
Song, Xiaoning, “Microstructure and mechanical properties of electrodeposited copper films,” A thesis submitted to the College of Engineering and Physical Sciences of the University of Birmingham, 2011, web page etheses.bham.ac.uk/id/eprint/1764/, 111 pages.
Swingle, Karen D., “Nanograin Copper Deposition Using an Impinging Jet Electrode,” A Thesis submitted in partial satisfaction of the requirements of the degree of Master of Science, University of California, San Diego, 2013, 102 pages.
Takahashi, K. et al., “Transport phenomena that control electroplated copper filling of submicron vias and trenches,” Journal of The Electrochemical Society, 1999, vol. 146, No. 12, pp. 4499-4503.
Zheng, Z. et al., “Study of grain size effect of Cu metallization on interfacial microstructures of solder joints,” Microelectronics Reliability, 2019, vol. 99, pp. 44-51.
Related Publications (1)
Number Date Country
20200381389 A1 Dec 2020 US
Provisional Applications (1)
Number Date Country
62656264 Apr 2018 US
Continuations (1)
Number Date Country
Parent 16363894 Mar 2019 US
Child 16995988 US