METHOD OF REPAIRING PROCESS INDUCED DIELECTRIC DAMAGE BY THE USE OF GCIB SURFACE TREATMENT USING GAS CLUSTERS OF ORGANIC MOLECULAR SPECIES

Information

  • Patent Application
  • 20070224824
  • Publication Number
    20070224824
  • Date Filed
    December 11, 2006
    17 years ago
  • Date Published
    September 27, 2007
    16 years ago
Abstract
When an interconnect structure is built on porous ultra low k (ULK) material, the bottom and/or sidewall of the trench and/or via is usually damaged by a following metallization or cleaning process which may be suitable for dense higher dielectric materials. Embodiments of the present invention may provide a method of repairing process induced dielectric damage from forming an interconnect structure on an inter-layer dielectric (ILD) material. The method includes treating an exposed area of the ILD material to create a carbon-rich area, and metallizing the carbon-rich area. One embodiment includes providing treatment to an exposed sidewall area of the ILD material to create a carbon-rich area by irradiating the exposed area using a gas cluster ion beam (GCIB) generated through a gas including a straight chain or branched, aliphatic or aromatic hydrocarbon, and metallizing the carbon-rich area.
Description

BRIEF DESCRIPTION OF THE DRAWINGS

The present invention will be understood and appreciated more fully from the following detailed description of the invention, taken in conjunction with the accompanying drawings of which:



FIG. 1 is a schematic illustration of a conventional interconnect structure as is known in the art;



FIG. 2 is an illustration of a sample interconnect structure formed by following a conventional process as is known in the art;



FIG. 3 is an illustration of another sample interconnect structure formed by following a conventional process as is known in the art;



FIG. 4 is a schematic illustration of a method of forming an interconnect structure according to one embodiment of the invention;



FIG. 5 is a schematic illustration of a method of forming an interconnect structure according to another embodiment of the invention;



FIG. 6 is a schematic illustration of a method of forming an interconnect structure according to one embodiment of the invention;



FIG. 7 is a schematic illustration of a method of forming an interconnect structure according to another embodiment of the invention;



FIG. 8 is a schematic illustration of a method of forming an interconnect structure according to yet another embodiment of the invention;



FIG. 9 is a schematic illustration of process induced dielectric damage of a sidewall area as is known in the art;



FIG. 10 is a schematic illustration of a method of repairing process induced dielectric damage from forming an interconnect structure according to yet another embodiment of the invention; and



FIG. 11 is a schematic illustration of a method of repairing according to another embodiment of the invention.


Claims
  • 1. A method of repairing process induced dielectric damage during forming of an interconnect structure in an inter-layer dielectric (ILD) material, the method comprising: providing a treatment to an exposed sidewall area of the ILD material to create a carbon-rich area by irradiating the exposed sidewall area with a gas cluster ion beam (GCIB) generated through a gas including a straight chain or branched, aliphatic or aromatic hydrocarbon; andmetallizing the carbon-rich area.
  • 2. The method of claim 1, wherein the ILD material includes a porous material of an ultra-low dielectric constant (ULK).
  • 3. The method of claim 1, wherein the ILD material is selected from the group consisting of: silicon oxycarbide (SiCOH), methylsilsesquioxane (MSQ), hydrosilsesquioxane, hydrogenated amorphous silicon carbide (SiCH), hydrogenated amorphous silicon carbon nitride (SiCNH), and silicon nitride (SiNx).
  • 4. The method of claim 1, wherein the GCIB irradiating comprises applying the GCIB at a dosage of approximately between 0.1 and 10.0×1015 ion-clusters/cm2.
  • 5. The method of claim 1, wherein the GCIB irradiating comprises accelerating the GCIB at a voltage of approximately between 5.0 and 60 KeV.
  • 6. The method of claim 5, wherein the GCIB irradiating comprises accelerating the GCIB at a voltage of approximately between 5 and 30 KeV.
  • 7. The method of claim 1, wherein the gas further includes an inert gas.
  • 8. The method of claim 7, wherein the inert gas comprises one or more gases of argon and krypton.
  • 9. The method of claim 1, wherein the aliphatic hydrocarbon includes at least one of the following: methane (CH4), ethane (C2H6), propane (C3H8) and ethylene (C2H4).
  • 10. The method of claim 1, further comprising stabilizing the carbon-rich area by exposing the carbon-rich area to another irradiation in a temperature between 200 and 400° C.
  • 11. The method of claim 10, wherein the another irradiation includes e-beam irradiation having a landing energy higher than a bond-breaking energy of Si—OH, SiH or Si—CH3.
  • 12. The method of claim 10, wherein the another irradiation includes ultra-violet (UV) irradiation having a wavelength between 170 and 300 nm.
  • 13. The method of claim 12, wherein the UV irradiation has a wavelength between 170 and 250 nm.
  • 14. The method of claim 1, wherein the exposed sidewall area is substantially hydrophobic after the treatment.
  • 15. A semiconductor device, comprising at least one interconnect structure formed on an inter-layer dielectric (ILD) material, the interconnect structure having one or more carbon-rich areas formed on a sidewall surface of the interconnect structure.
Continuation in Parts (1)
Number Date Country
Parent 11308422 Mar 2006 US
Child 11609040 US