METHODS FOR FORMING MULTI-TIER TUNGSTEN FEATURES

Information

  • Patent Application
  • 20240047268
  • Publication Number
    20240047268
  • Date Filed
    July 17, 2023
    10 months ago
  • Date Published
    February 08, 2024
    3 months ago
Abstract
A method of forming a structure on a substrate includes forming a tungsten nucleation layer within at least one opening within a multi-tier portion of a substrate. The method includes exposing the nucleation layer a nitrogen-containing gas to inhibit growth of the nucleation layer at narrow portions within the at least one opening. The method includes exposing the at least one opening to the tungsten-containing precursor gas to form a fill layer over the nucleation layer within the at least one opening. The method includes exposing the at least one opening of the substrate to the nitrogen-containing gas or a nitrogen-containing plasma to inhibit growth of portions of the fill layer along the at least one opening.
Description
BACKGROUND
Field

Embodiments herein are directed to methods used in electronic device manufacturing, and more particularly, to methods used for forming tungsten features in a semiconductor device.


Description of the Related Art

Tungsten (W) is widely used in integrated circuit (IC) device manufacturing to form conductive features where relatively low electrical resistance and relativity high resistance to electromigration are desired. For example, tungsten may be used as a metal fill material to form source contacts, drain contacts, metal gate fill, gate contacts, interconnects (e.g., horizontal features formed in a surface of a dielectric material layer), and vias (e.g., vertical features formed through a dielectric material layer to connect other interconnect features disposed there above and there below).


Due to its relativity low resistivity, tungsten is also commonly used to form bit lines and word lines used to address individual memory cells in a memory cell array of a three-dimensional NAND (3D NAND) device. 3D NAND structures include tiers of horizontal arrays that can be stacked by depositing layers in sequence. Channels can be formed through the stack of films and filled with tungsten. In some cases, the channel sidewall widths can vary between tiers. During filling the channel, the tungsten fill layer can deposit an upper portion of the channel quicker than a lower portion due to the varying channel sidewall widths and higher concentration of precursor gases used to deposit the tungsten fill layer. This can cause void formation within portions of the channels, particular for channels disposed in structures having two or more tiers, and particular for high aspect ratio features.


Accordingly, there is a need for processes to fill contact features that are free or substantially free of voids and seams and have low resistivity for various film thicknesses within channels in multi-tier structures.


SUMMARY

In some embodiments, a method of forming a structure on a substrate is provided. The method includes exposing at least one opening formed in a multi-tier portion of the substrate to a tungsten-containing gas at a precursor gas flow rate and exposing the at least one opening of the substrate to a reducing agent comprising boron at a reducing agent flow rate. The tungsten-containing gas and the reducing agent are alternated cyclically to form a nucleation layer within the at least one opening of the substrate. The method includes exposing the at least one opening of the substrate to a nitrogen-containing gas to inhibit growth of the nucleation layer at narrow portions within the at least one opening. The method includes exposing the at least one opening to the tungsten-containing precursor gas to form a fill layer over the nucleation layer within the at least one opening. The method includes exposing the at least one opening of the substrate to the nitrogen-containing gas or a nitrogen-containing plasma to inhibit growth of portions of the fill layer along the at least one opening.


In some embodiments, a method of forming a structure on a substrate is provided. The method includes exposing at least one opening formed within the substrate to a tungsten-containing precursor gas at a precursor gas flow rate. The at least one opening comprises a lower portion and an upper portion and the upper portion includes a width smaller than a width of the lower portion. The method includes exposing the at least one opening of the substrate to a reducing agent comprising boron at a reducing agent flow rate. The tungsten-containing precursor gas and the reducing agent are alternated cyclically to form a nucleation layer within the at least one opening of the substrate. The method includes exposing the opening to the tungsten-containing precursor gas to form a portion of a fill layer over the nucleation layer within the at least one opening. The method includes exposing the opening of the substrate to a nitrogen-containing gas or a nitrogen-containing plasma and exposing the opening to the tungsten-containing precursor gas to form the fill layer within the at least one opening. The method includes exposing the opening of the substrate to the nitrogen-containing gas to inhibit growth of the fill layer within the at least one opening.


In some embodiments, a method of forming a structure on a substrate is provided. The method includes forming a tungsten nucleation layer within at least one opening formed in a multi-tier portion of the substrate. The method includes exposing the tungsten nucleation layer to a nitrogen-containing plasma to inhibit growth of the nucleation layer at narrow portions within the at least one opening and exposing the at least one opening to the tungsten-containing precursor gas to form a fill layer over the nucleation layer within the at least one opening. The method includes exposing the at least one opening of the substrate to the nitrogen-containing gas to inhibit growth of portions of the fill layer along the at least one opening.


In some embodiments, a structure is provided on a substrate. The structure including an opening within the substrate. The opening including a plurality of tiers stacked vertically from a bottom of the opening to a surface of the opening. A tungsten-containing layer is disposed within the opening, the tungsten-containing layer includes a nucleation layer disposed along sidewalls of the opening. The nucleation layer includes boron and tungsten. The structure includes a fill layer disposed over the nucleation layer within the opening.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, and may admit to other equally effective embodiments.



FIG. 1 is a schematic sectional view of a portion of a substrate illustrating undesirable voiding or seaming in conventionally formed tungsten features.



FIG. 2A is a schematic side view of a processing system that may be used to implement the methods set forth herein, according to one embodiment.



FIG. 2B is a close-up sectional view of a portion of the processing system shown in FIG. 2A, according to one embodiment.



FIG. 3 is a diagram illustrating simplified process flows used to process a substrate, according to one embodiment.



FIG. 4A is a schematic sectional view of a portion of a substrate including a substrate to be processed by a method described herein, according to one embodiment.



FIG. 4B is a schematic sectional view of a portion of a substrate including a substrate processed by a method described herein, according to one embodiment.





To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.


DETAILED DESCRIPTION

Embodiments herein are generally directed electronic device manufacturing and, more particularly, to systems and methods for forming low resistivity tungsten features in a semiconductor device manufacturing scheme.



FIG. 1 is a schematic cross-sectional view of a substrate 101 illustrating an undesirable void 20 formed during a conventional tungsten deposition process. Here, the substrate 101 includes a patterned surface 11 disposed within a plurality of tier layers, such as a first tier layer 12A and a second tier layer 12B. In some embodiments, the first tier layer 12A is a first dielectric layer (e.g., silicon oxide (SiOx)) and the second tier layer is composed of a second dielectric layer (e.g., silicon nitride (SiN)). In some embodiments, the substrate 101 includes a plurality of alternating first and second tier layers. The patterned surface 11 includes at least one opening having a high aspect ratio opening formed therein (shown filled with a portion of tungsten layer 15), a barrier material layer 14 deposited on the tier layers 12A, 12B to line the opening, and the tungsten layer 15 deposited on the barrier material layer 14. The tungsten layer 15 illustrated in FIG. 1 is formed using a conventional deposition process, e.g., a chemical vapor deposition (CVD) or atomic layer deposition (ALD) process where tungsten is conformally deposited (grown) on the patterned surface 11 to fill the opening. The tungsten layer 15 forms a tungsten feature 15A within the first tier layer 12A, a tungsten feature 15B within the second tier layer 12B and an overburden of material (tungsten overburden layer 15C) on the field of the patterned surface 11.


In FIG. 1, the opening has a non-uniform profile that is wider at the surface of the substrate 101 and tapers as the opening extends from the surface inwardly into the second layer 12B. At an interface 25 of the first and second tier layers, the width of the second tier is narrower than the width of the first tier disposed inward from the second tier. As shown, interface portions of the conformal tungsten layer 15 have grown together to block or “pinch off” the entrance to the opening disposed in the first tier 12A before the opening could be completely filled, thus causing the undesirable void 20, i.e., an absence of tungsten material, in the tungsten feature 15A. In addition to voids, undesirable seams (e.g., 24) can occur in tungsten features, as shown within the second tier 12B using a conventional tungsten deposition process. The void 20 and seam 24 are vulnerable to corrosion from the chemically active components of the tungsten CMP polishing fluid, which may cause undesirable loss of tungsten material from the feature 15A, 15B if the seam 24 and/or void 20 is exposed during the CMP process.


Accordingly, embodiments herein provide a processing system that is configured to perform a combination of the individual aspects of the methods without transferring a substrate between processing chambers, thus improving overall substrate processing throughput and capacity for the tungsten gapfill processing schemes described herein. The methods and systems provided herein provide are particularly useful for tungsten gapfill for high aspect ratio features, such as about 25:1 or greater, such as about 30:1 to about 100:1, such as about 50:1 to about 80:1. The aspect ratio refers to a ratio of total height to an average width or diameter of the feature.


Generally, the gapfill processing schemes include forming a differential tungsten deposition inhibition profile in feature openings formed in a surface of a substrate, filling the openings with tungsten material according to the inhibition profile, and depositing an overburden of tungsten on the field surface of the substrate. Forming the tungsten deposition inhibition profile typically includes forming a tungsten nucleation layer and treating the tungsten nucleation layer using a nitrogen-containing gas, an activated nitrogen species, e.g., treatment radicals, or a combination thereof. The nitrogen-containing gas can further include molecules including hydrogen atoms, fluorine atoms, or combinations thereof, such as nitrogen trifluoride, NH3, N2H4, or combinations thereof. The nitrogen from the nitrogen-containing gas, the activated nitrogen species, or a combination thereof are incorporated into portions of the nucleation layer, e.g., by adsorption of the nitrogen and/or by reaction with the metallic tungsten of the nucleation layer to form tungsten nitride (WN). The adsorbed nitrogen and/or nitrided surface of the tungsten nucleation layer desirably delays (inhibits) tungsten nucleation and thus subsequent tungsten deposition thereon.


In some embodiments, the treatment radicals are formed remotely from a substrate processing chamber by use of a remote plasma source fluidly coupled thereto. The desired inhibition effect on the field of the patterned surface and the desired inhibition profile in the openings formed in the patterned surface is achieved by controlling processing conditions within the processing chamber, such as temperature and pressure, and controlling the concentration, flux, and energy of the treatment radicals at the substrate surface. Typically, the treatment radicals are formed from a non-halogen nitrogen-containing gas, such as N2, NH3, NH4, or combinations thereof. In some embodiments, the nitrogen-containing gas is provided to the process chamber without transferring the substrate. Without being bound by theory, it is believed that the nitrogen-containing gas, such as nitrogen trifluoride, hydrozine, ammonia, or a derivative thereof inhibits growth within the openings at interfaces of tier layers in order to adequate fill a bottom of the openings and prevent pinch off at the interfaces. It has been discovered that treatments that include radicals can provide enhanced inhibition closer to a surface of the opening, whereas the non-plasma activated nitrogen (e.g., thermally activated nitrogen) from the nitrogen-containing gas enables inhibition at locations deeper within the openings. Therefore, in some embodiments, by performing a process that combines the exposure of a portion of a nucleation layer and/or a portion of a tungsten fill layer, during their respective process operations, to nitrogen-containing molecules and also to nitrogen radicals the formation of voids can be prevented from forming during a fill process. The process can include adjusting the relative amount of exposure to the non-plasma activated nitrogen-containing gas and also to nitrogen radicals during the deposition process. By adjusting the relative amount of exposure to the nitrogen-containing gas and also to nitrogen radicals during a cyclic deposition process, which is used to form either the nucleation layer and/or the tungsten fill layer, will allow a desired deposition profile to be created in the feature during the deposition process. The exposure to the non-plasma activated nitrogen-containing gas and also to nitrogen radicals during the cyclic deposition process can be completed simultaneously or sequentially. In one example, the deposition layer is sequentially exposed to the non-plasma activated nitrogen-containing gas first and then to nitrogen radicals before a subsequent layer of the nucleation layer and/or the fill layer is deposited thereon. In another example, the deposition layer is sequentially exposed to the nitrogen radicals first and then to the non-plasma activated nitrogen-containing gas before a subsequent layer of the nucleation layer and/or the fill layer is deposited thereon.


The tungsten nucleation and deposition processes of the gapfill processing scheme generally include flowing a tungsten-containing precursor and a reducing agent into the processing chamber and exposing the substrate surface thereto. The tungsten-containing precursor and the reducing agent react on the surface of the substrate in one of a chemical vapor deposition (CVD) process, a pulsed CVD process, an atomic layer deposition (ALD) process, or a combination thereof to deposit tungsten material thereon.


The processing systems described herein are configured to periodically perform a chamber cleaning operation where the undesired tungsten residues are removed from the interior surfaces of the processing chamber using a cleaning chemistry, such as a cleaning chemistry including an activated halogen species, e.g., fluorine or chlorine (cleaning) radicals, formed remotely from the processing chamber.


The chamber cleaning operation generally includes flowing the halogen cleaning radicals into the processing chamber, reacting the cleaning radicals with the tungsten residue to form a volatile tungsten species, and evacuating the volatile tungsten species from the processing chamber through an exhaust. The chamber cleaning operation is typically performed between substrate processing, i.e., after a processed substrate has been removed from the processing chamber and before a subsequent to-be-processed processed substrate has been received into the processing chamber.



FIGS. 2A-2B schematically illustrate a processing system 200 that may be used to perform the bottom-up tungsten gapfill substrate processing methods described herein. Here, the processing system is configured to provide the different processing conditions desired for each of a nucleation process, inhibition treatment process, selective gapfill process, and overburden deposition process within a single processing chamber 202, i.e., without transferring a substrate between a plurality of processing chambers.


As shown in FIG. 2A, the processing system 200 includes a processing chamber 202, a gas delivery system 204 fluidly coupled to the processing chamber 202, and a system controller 208. The processing chamber 202 (shown in cross-section in FIG. 2A) includes a chamber lid assembly 210, one or more sidewalls 212, and a chamber base 214, which collectively define a processing volume 215. The processing volume 215 is fluidly coupled to an exhaust 217, such as one or more vacuum pumps, used to maintain the processing volume 215 at sub-atmospheric conditions and to evacuate processing gases and processing by-products therefrom.


The chamber lid assembly 210 includes a lid plate 216 and a showerhead 218 coupled to the lid plate 216 to define a gas distribution volume 219 therewith. Here, the lid plate 216 is maintained at a desired temperature using one or more heaters 229 thermally coupled thereto. The showerhead 218 faces a substrate support assembly 220 disposed in the processing volume 215. As discussed below, the substrate support assembly 220 is configured to move a substrate support 222, and thus a substrate 230 disposed on the substrate support 222, between a raised substrate processing position (as shown) and a lowered substrate transfer position (not shown). When the substrate support assembly 220 is in the raised substrate processing position, the showerhead 218 and the substrate support 222 define a processing region 221.


The gas delivery system 204 is fluidly coupled to the processing chamber 202 through a gas inlet 223 (FIG. 2B) that is disposed through the lid plate 216. Processing or cleaning gases delivered, by use of the gas delivery system 204, flow through the gas inlet 223 into the gas distribution volume 219 and are distributed into the processing region 221 through a plurality of openings 232 (FIG. 2B) in the showerhead 218. In some embodiments, the chamber lid assembly 210 further includes a perforated blocker plate 225 disposed between the gas inlet 223 and the showerhead 218. In those embodiments, gases flowed into the gas distribution volume 219 are first diffused by the blocker plate 225 to, together with the showerhead 218, provide a more uniform or desired distribution of gas flow into the processing region 221.


The processing gases and processing by-products are evacuated radially outward from the processing region 221 through an annular channel 226 that surrounds the processing region 221. The annular channel 226 may be formed in a first annular liner 227 disposed radially inward of the one or more sidewalls 212 (as shown) or may be formed in the one or more sidewalls 212. In some embodiments, the processing chamber 202 includes one or more second liners 228, which are used to protect the interior surfaces of the one or more sidewalls 212 or chamber base 214 from corrosive gases and/or undesired material deposition.


In some embodiments, a purge gas source 237 in fluid communication with the processing volume 215 is used to flow a chemically inert purge gas, such as argon (Ar), into a region disposed beneath the substrate support 222, e.g., through the opening in the chamber base 214 surrounding a support shaft 262. The purge gas may be used to create a region of positive pressure below the substrate support 222 (when compared to the pressure in the processing region 221) during substrate processing. Typically, purge gas introduced through the chamber base 214 flows upwardly therefrom and around the edges of the substrate support 222 to be evacuated from the processing volume 215 through the annular channel 226. The purge gas reduces undesirable material deposition on surfaces beneath the substrate support 222 by reducing and/or preventing the flow of material precursor gases thereinto.


The substrate support assembly 220 includes a movable support shaft 262 that sealingly extends through the chamber base 214, such as being surrounded by a bellows 265 in the region below the chamber base 214, and the substrate support 222, which is disposed on the movable support shaft 262. To facilitate substrate transfer to and from the substrate support 222, the substrate support assembly 220 includes a lift pin assembly 266 comprising a plurality of lift pins 267 coupled to or disposed in engagement with a lift pin hoop 268. The plurality of lift pins 267 are movably disposed in openings formed through the substrate support 222. When the substrate support 222 is disposed in a lowered substrate transfer position (not shown), the plurality of lift pins 267 extend above a substrate receiving surface of the substrate support 222 to lift a substrate 230 therefrom and provide access to a backside (non-active) surface of the substrate 230 by a substrate handler (not shown). When the substrate support 222 is in a raised or processing position (as shown), the plurality of lift pins 267 recede beneath the substrate receiving surface of the substrate support 222 to allow the substrate 230 to rest thereon.


The substrate 230 is transferred to and from the substrate support 222 through a door 271, e.g., a slit valve disposed in one of the one or more sidewalls 212. Here, one or more openings in a region surrounding the door 271, e.g., openings in a door housing, are fluidly coupled to a purge gas source 237, e.g., an Ar gas source. The purge gas is used to prevent processing and cleaning gases from contacting and/or degrading a seal surrounding the door, thus extending the useful lifetime thereof.


The substrate support 222 is configured for vacuum chucking where the substrate 230 is secured to the substrate support 222 by applying a vacuum to an interface between the substrate 230 and the substrate receiving surface. The vacuum is applied use of a vacuum source 272 fluidly coupled to one or more channels or ports formed in the substrate receiving surface of the substrate support 222. In other embodiments, e.g., where the processing chamber 202 is configured for direct plasma processing, the substrate support 222 may be configured for electrostatic chucking. In some embodiments, the substrate support 222 includes one or more electrodes (not shown) coupled to a bias voltage power supply (not shown), such as a continuous wave (CW) RF power supply or a pulsed RF power supply, which supplies a bias voltage thereto.


As shown, the substrate support assembly 220 features a dual-zone temperature control system to provide independent temperature control within different regions of the substrate support 222. The different temperature-controlled regions of the substrate support 222 correspond to different regions of the substrate 230 disposed thereon. Here, the temperature control system includes a first heater 263 and a second heater 264. The first heater 263 is disposed in a central region of the substrate support 222, and the second heater 264 is disposed radially outward from the central region to surround the first heater 263. In other embodiments, the substrate support 222 may have a single heater or more than two heaters.


In some embodiments, the substrate support assembly 220 further includes an annular shadow ring 235, which is used to prevent undesired material deposition on a circumferential bevel edge of the substrate 230. During substrate transfer to and from the substrate support 222, i.e., when the substrate support assembly 220 is disposed in a lowered position (not shown), the shadow ring 235 rests on an annular ledge within the processing volume 215. When the substrate support assembly 220 is disposed in a raised or processing position, the radially outward surface of the substrate support 222 engages with the annular shadow ring 235 so that the shadow ring 235 circumscribes the substrate 230 disposed on the substrate support 222. Here, the shadow ring 235 is shaped so that a radially inward facing portion of the shadow ring 235 is disposed above the bevel edge of the substrate 230 when the substrate support assembly 220 is in the raised substrate processing position.


In some embodiments, the substrate support assembly 220 further includes an annular purge ring 236 disposed on the substrate support 222 to circumscribe the substrate 230. In those embodiments, the shadow ring 235 may be disposed on the purge ring 236 when the substrate support assembly 220 is in the raised substrate processing position. Typically, the purge ring 236 features a plurality of radially inward facing openings that are in fluid communication with the purge gas source 237. During substrate processing, a purge gas flows into an annular region defined by the shadow ring 235, the purge ring 236, the substrate support 222, and the bevel edge of the substrate 230 to prevent processing gases from entering the annular region and causing undesired material deposition on the bevel edge of the substrate 230.


In some embodiments, the processing chamber 202 is configured for direct plasma processing. In those embodiments, the showerhead 218 may be electrically coupled to a first power supply 231, such as an RF power supply, which supplies power to ignite and maintain a plasma of processing gases flowed into the processing region 221 through capacitive coupling therewith. In some embodiments, the processing chamber 202 comprises an inductive plasma generator (not shown), and a plasma is formed through inductively coupling an RF power to the processing gas.


The processing system 200 is advantageously configured to perform each of the tungsten nucleation, inhibition treatment, and bulk tungsten deposition processes of a void-free and seam-free tungsten gapfill process scheme without removing the substrate 230 from the processing chamber 202. The gases used to perform the individual processes of the gapfill process scheme, and to clean residues from the interior surfaces of the processing chamber, are delivered to the processing chamber 202 using the gas delivery system 204 fluidly coupled thereto.


Generally, the gas delivery system 204 includes one or more remote plasma sources, here the first and second radical generator 206A-B, a deposition gas source 240, and a conduit system 294 (e.g., the plurality of conduits 294A-F) fluidly coupling the radical generators 206A-B and the deposition gas source 240 to the lid assembly 210. The gas delivery system 204 further includes a plurality of isolation valves, here the first and second valves 290A-B, respectively disposed between the radical generators 206A-B and the lid plate 216, which may be used to fluidly isolate each of the radical generators 206A-B from the processing chamber 202 and from one another.


Each of the radical generators 206A-B features a chamber body 280 that defines the respective first and second plasma chamber volumes 281A-B (FIG. 2B). Each of the radical generators 206A-B is coupled to a respective power supply 293A-B. The power supplies 293A-B are used to ignite and maintain a plasma 282A-B of gases delivered to the plasma chamber volumes 281A-B from a corresponding first or second gas source 287A-B fluidly coupled thereto. In some embodiments, the first radical generator 206A generates radicals used in the differential inhibition process. For example, the first radical generator 206A may be used to ignite and maintain a treatment plasma 282A from a non-halogen-containing gas mixture delivered to the first plasma chamber volume 281A from the first gas source 287A. The second radical generator 206B may be used to generate cleaning radicals used in a chamber clean process by igniting and maintaining a cleaning plasma 282B from a halogen-containing gas mixture delivered to the second plasma chamber volume 281B from the second gas source 287B.


Typically, nitrogen treatment radicals have a relativity short lifetime (when compared to halogen cleaning radicals) and may exhibit a relatively high sensitivity to recombination from collisions with surfaces in the gas delivery system 204 and/or with other species of the treatment plasma effluent. Thus, in embodiments herein, the first radical generator 206A is typically positioned closer to the gas inlet 223 than the second radical generator 206B, e.g., to provide a relatively shorter travel distance from the first plasma chamber volume 281A to the processing region 221.


In some embodiments, the first radical generator 206A is also fluidly coupled to the second gas source 287B, which delivers a halogen-containing conditioning gas to the first plasma chamber volume 281A to be used in a plasma source condition process. In those embodiments, the gas delivery system 204 may further include a plurality of diverter valves 291, which are operable to direct the halogen-containing gas mixture from the second gas source 287B to the first plasma chamber volume 281A.


Suitable remote plasma sources which may be used for one or both of the radical generators 206A-B include radio frequency (RF) or very high radio frequency (VHRF) capacitively coupled plasma (CCP) sources, inductively coupled plasma (ICP) sources, microwave-induced (MW) plasma sources, electron cyclotron resonance (ECR) chambers, or high-density plasma (HDP) chambers.


As shown, the first radical generator 206A is fluidly coupled to the processing chamber 202 by use of first and second conduits 294A-B, which extend upwardly from the gas inlet 223 to connect with an outlet of the first plasma chamber volume 281A. A first valve 290A, disposed between the first and second conduits 294A-B, is used to selectively fluidly isolate the first radical generator 206A from the processing chamber 202 and the other portions of the gas delivery system 204. Typically, the first valve 290A is closed during the chamber clean process to prevent activated cleaning gases, e.g., halogen radicals, from flowing into the first plasma chamber volume 281A and damaging the surfaces thereof.


The second radical generator 206B is fluidly coupled to the second conduit 294B, and thus the processing chamber 202, by use of third and fourth conduits 294C-D. The second radical generator 206B is selectively isolated from the processing chamber 202 and from the other portions of the gas delivery system 204 by use of a second valve 290B that is disposed between the third and fourth conduits 294C-D.


Deposition gases, e.g., tungsten-containing precursors and reducing agents, are delivered from the deposition gas source 240 to the processing chamber 202 using a fifth conduit 294E. As shown, the fifth conduit 294E is coupled to the second conduit 294B at a location proximate to the gas inlet 223 so that the first and second valves 290A-B may be used to respectively isolate the first and second radical generators 206A-B from deposition gases introduced into the processing chamber 202. In some embodiments, the gas delivery system 204 further includes a sixth conduit 294F which is coupled to the fourth conduit 294D at a location proximate to the second valve 290B. The sixth conduit 294F, is fluidly coupled to a bypass gas source 238, e.g., an argon (Ar) gas source, which may be used to periodically purge portions of the gas delivery system 204 of undesired residual cleaning, inhibition, and/or deposition gases.


Operation of the processing system 200 is facilitated by the system controller 208. The system controller 208 includes a programmable central processing unit, here the CPU 295, which is operable with a memory 296 (e.g., non-volatile memory) and support circuits 297. The CPU 295 is one of any form of general-purpose computer processor used in an industrial setting, such as a programmable logic controller (PLC), for controlling various chamber components and sub-processors. The memory 296, coupled to the CPU 295, facilitates the operation of the processing chamber. The support circuits 297 are conventionally coupled to the CPU 295 and comprise cache, clock circuits, input/output subsystems, power supplies, and the like, and combinations thereof coupled to the various components of the processing system 200 to facilitate control of substrate processing operations therewith.


The instructions in memory 296 are in the form of a program product, such as a program that implements the methods of the present disclosure. In one example, the disclosure may be implemented as a program product stored on computer-readable storage media for use with a computer system. The program(s) of the program product define functions of the embodiments (including the methods described herein). Thus, the computer-readable storage media, when carrying computer-readable instructions that direct the functions of the methods described herein, are embodiments of the present disclosure.


The processing system 200 described above may be used to perform each of the nucleation, inhibition, gapfill deposition, thus providing a single-chamber seam-free tungsten gapfill solution.



FIG. 3 is a diagram illustrating simplified process flows used to process a substrate according to some embodiments, which may be performed using the processing system 200. FIGS. 4A-4B are schematic sectional views of a portion of a substrate 400 illustrating aspects at different stages of a void-free and seam-free tungsten gapfill process scheme.


The substrate 400 features a patterned surface 401 including a first tier layer 412A and a second tier layer 412B having a plurality of openings 405 (one shown) formed therein. In some embodiments, the plurality of openings 405 include one or a combination of high aspect ratio via or trench openings having a width (e.g., each of 407, 414) of about 100 nm to about 400 nm, such as about 200 nm to about 300 nm and a depth (e.g., each of 402A or 402B or either of 402A or 402B) of about 2 μm to about 8 μm, such as about 3 μm to about 6 μm. In some embodiments, the plurality of openings includes at least one opening with a width 410 of a narrowest portion of the opening of about 50 nm to about 200 nm, such as about 75 nm to about 125. In some embodiments, individual openings 405 may have an aspect ratio (depth to width ratio) of about 10:1 or more, such as about 25:1 or more, such as about 30:1 to about 100:1, such as about 40:1 to about 60:1. In some embodiments, the vias or trench openings include aspect ratios of about 20:1 to about 40:1. An opening disposed within the first tier 412A is referred to as first tier opening 402A and an opening disposed within the second tier 412B is referred to as a second tier opening 402B. The first and second tier openings together form a single contiguous opening 405. The uppermost portion of the first tier opening 402A interfaces the lowermost portion of the second tier opening 402B at interface 406.


The width 407 of the uppermost portion of the first tier opening is greater than a width 410 of the lowermost portion of the second tier opening 402B at the interface. In some embodiments, the width 407 is about 5% to about 100% greater than the width 410, such as about 10% greater to about 50% greater. For example, width 410 can be about 50 nm to about 300 nm, such as about 75 nm to about 125 nm and the width of 407 can be about 70 nm to about 400 nm, such as about 150 nm to about 250 nm. In some embodiments, for each tier, a widest portion of the tier, such as the uppermost portion 414 of the second tier 402B is about 5% to about 100% greater than a narrowest portion of the tier, such as a lowermost portion 410 of the second tier 402B, such as about 10% greater to about 50% greater. In some embodiments, a height of the first tier opening 402A is substantially the same, is less than, or greater than a height of the second tier opening 402B. Without being bound by theory, it is believed that sudden differences in opening widths at interfaces can cause a pinching effect. The methods described herein enables a growth behavior that fills openings without the formation of voids.


As shown in FIG. 4B, the patterned surface 401 includes a barrier or adhesion layer 403, e.g., a titanium nitride (TiN) layer, deposited on the first tier layer 412A and the second tier layer 412B to conformally line the openings 405 and facilitate the subsequent deposition of a tungsten nucleation layer 404. In some embodiments, the adhesion layer 403 is deposited to a thickness of between about 20 angstroms (Å) and about 150 Å, such as about 30 Å to about 100 Å.


Nucleation Layer Deposition

Each of the process flows 300A, 300B, 300C, 300D, 300E, and 300F include forming a nucleation layer 404 over the substrate 400 depicted as activity 302. The nucleation layer can be formed using any process capable of forming a tungsten nucleation layer. In some embodiments, prior to forming the nucleation layer 404, the substrate is exposed in a boron-containing gas, such as B2H2, such as for a soak time of about 5 seconds or greater, such as about 10 seconds or greater, such as about 20 seconds to 30 seconds. In some embodiments, the nucleation layer 404 is deposited over the adhesion layer 403.


The nucleation layer can be formed using atomic layer deposition (ALD) of a tungsten-containing nucleation layer, or a physical vapor deposition (PVD) process. Forming the nucleation layer includes exposing the substrate 400 to a tungsten-containing precursor gas at a first precursor gas flow rate. In some embodiments, forming the nucleation layer includes exposing the substrate to a reducing agent. The reducing agent includes boron and is introduced to the process chamber at a reducing agent flow rate. In some embodiments, the tungsten-containing precursor gas and the reducing agent are alternated cyclically to form a nucleation layer over the substrate within at least one opening of the substrate at the reducing agent flow rate. In some embodiments, the reducing agent and the tungsten-containing precursor gas are cyclically alternated, beginning with either the reducing agent or the tungsten-containing precursor gas, and ending with the same beginning gas or ending with a gas different from the beginning gas. In some embodiments, the reducing agent or the tungsten-containing precursor gas are cyclically alternated beginning with tungsten-containing precursor gas and ending in the reducing agent. A portion of an exemplary substrate 400 having the nucleation layer 404 formed thereon is schematically illustrated in FIG. 4B.


In some embodiments, the nucleation layer 404 is deposited using an atomic layer deposition (ALD) process. The ALD process includes repeating cycles of alternately exposing the substrate 400 to a tungsten-containing precursor and exposing the substrate 400 to a reducing agent. In some embodiments, the processing region 221 is purged between the alternating exposures. In some embodiments, the process region 221 is continuously purged. Examples of suitable tungsten-containing precursors include tungsten halides, such as tungsten hexafluoride (WF6), tungsten hexachloride (WCl6), or combinations thereof. In some embodiments, the tungsten-containing precursor includes WF6, and the reducing agent includes a boron-containing agent, such as B2H6. In some embodiments, the tungsten-containing precursor comprises an organometallic precursor and/or a fluorine-free precursor, e.g., MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten), EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten), tungsten hexacarbonyl (W(CO)6), or combinations thereof.


During the nucleation process, the processing volume 215 is maintained at a pressure of less than about 120 Torr, such as of between about 900 mTorr and about 120 Torr, between about 1 Torr and about 100 Torr, or for example, between about 1 Torr and about 50 Torr. Exposing the substrate 400 to the tungsten-containing precursor includes flowing the tungsten-containing precursor into the processing region 221 from the deposition gas source 240 at a flow rate of about 100 sccm or less, such as about 10 sccm to about 60 sccm, or about 20 sccm to about 80 sccm. Exposing the substrate 400 to the reducing agent includes flowing the reducing agent into the processing region 221 from the deposition gas source 240 at a flow rate of about 200 sccm to about 1000 sccm, such as between about 300 sccm and about 750 sccm.


It should be noted that the flow rates for the various deposition and treatment processes described herein are for a processing system 200 configured to process a 300 mm diameter substrate. Appropriate scaling may be used for processing systems configured to process different-sized substrates.


The tungsten-containing precursor and the reducing agent are each flowed into the processing region 221 for a duration of between about 0.1 seconds and about 10 seconds, such as between about 0.5 seconds and about 5 seconds. The processing region 221 may be purged between the alternating exposures by flowing a purge gas, such as argon (Ar) or hydrogen gas, into the processing region 221 for a duration of between about 0.1 seconds and about 10 seconds, such as between about 0.5 seconds and about 5 seconds. The purge gas may be delivered from the deposition gas source 240 or from the bypass gas source 238. Typically, the repeating cycles of the nucleation process continue until the nucleation layer 404 has a thickness of between about 10 Å and about 200 Å, such as between about 10 Å and about 150 Å, or between about 20 Å and about 150 Å. The nucleation layer 404 is disposed along sidewalls of the opening 405, such as over the barrier or adhesion layer 403.


In some embodiments, immediately following each nucleation activity 302, a tungsten gapfill material 408 (FIG. 4B) is optionally deposited, at least partially, into the plurality of openings 405, in activity 306. A need for the optional activity 306 immediately following the nucleation activity 302 is determined based on a profile of the opening, such as relative heights of the tiers. By way of example, for lower tiers that are much longer than upper tiers, the interface between the tiers, referred to herein as a “necking point,” can be disposed proximate to a surface of the opening, thus the opening can be at least partially filled with the tungsten gapfill material 408 prior to introducing growth inhibitors. In some embodiments, the optional operation 306 is performed when the minimum width of the opening is within about 50% or less of the maximum width of the opening, such as within 30% or less, such as within 20% or less. Other profiles can determine a need for optional activity 306, such as lower aspect ratio features can benefit from the optional operation, and features with a wide opening at the surface. Without being bound by theory, it is believed that optional activity 306 enables enhanced throughput prior to the inhibition operation.


In one embodiment, the tungsten gapfill material 408 is formed using a chemical vapor deposition (CVD) process comprising concurrently flowing (co-flowing) a tungsten-containing precursor gas, and a reducing agent into the processing region 221 and exposing the substrate 400 thereto. The tungsten-containing precursor and the reducing agent used for the tungsten gapfill CVD process may comprise any combination of the tungsten-containing precursors and reducing agents described with reference to activity 302. In some embodiments, the tungsten-containing precursor comprises WF6, and the reducing agent includes hydrogen gas. In some embodiments, the tungsten gapfill material 408 partially fills the plurality of openings 405.


The tungsten-containing precursor is flowed into the processing region 221 at a rate of between about 10 sccm and about 1200 sccm, or more than about 50 sccm, or less than about 1000 sccm, or between about 100 sccm and about 900 sccm. The reducing agent is flowed into the processing region 221 at a rate of more than about 500 sccm, such as more than about 750 sccm, more than about 1000 sccm, or between about 500 sccm and about 10000 sccm, such as between about 1000 sccm and about 9000 sccm, or between about 1000 sccm and about 8000 sccm.


In some embodiments, the tungsten gapfill CVD process conditions are selected to provide a tungsten feature having a relativity low residual film stress when compared to conventional tungsten CVD processes. For example, in some embodiments, the tungsten gapfill CVD process includes heating the substrate to a temperature of about 250° C. or more, such as about 300° C. or more, or between about 250° C. and about 600° C., or between about 300° C. and about 500° C. During the CVD process, the processing volume 215 is typically maintained at a pressure of less than about 500 Torr, less than about 600 Torr, less than about 500 Torr, less than about 400 Torr, or between about 1 Torr and about 500 Torr, such as between about 1 Torr and about 450 Torr, or between about 1 Torr and about 400 Torr, or for example, between about 1 Torr and about 300 Torr.


In another embodiment, the tungsten gapfill material 408 is deposited at operation 306 using an atomic layer deposition (ALD) process. The tungsten gapfill ALD process includes repeating cycles of alternately exposing the substrate 400 to a tungsten-containing precursor gas and a reducing agent and purging the processing region 221 between the alternating exposures.


The tungsten-containing precursor and the reducing agent are each flowed into the processing region 221 for a duration of between about 0.1 seconds and about 10 seconds, such as between about 0.5 seconds and about 5 seconds. The processing region 221 is typically purged between the alternating exposures by flowing an inert purge gas, such as argon (Ar) or hydrogen, into the processing region 221 for a duration of between about 0.1 seconds and about 10 seconds, such as between about 0.5 seconds and about 5 seconds. The purge gas may be delivered from the deposition gas source 240 or from the bypass gas source 238.


In other embodiments, the tungsten gapfill material 408 is deposited using a pulsed CVD method that includes repeating cycles of alternately exposing the substrate 400 to a tungsten-containing precursor gas and a reducing agent without purging the processing region 221. The processing conditions for the tungsten gapfill pulsed CVD method may be the same, substantially the same, or within the same ranges as those described above for the tungsten gapfill ALD process.


In some embodiments, the nucleation layer 404 and the fill layer 408 are monolithic and do not have an interface therebetween. The tungsten gapfill material 408 and the nucleation layer 404 together form a tungsten-containing layer. The thickness of the tungsten-containing layer is measured from an interface between the adhesive layer and the nucleation layer to a center of the fill layer 408.


After forming the nucleation layer 404 and optional tungsten gap fill material 408, a differential inhibition profile is formed by exposing the sidewalls of the openings to a nitrogen-containing gas (e.g., NF3, NH3, or N2H4), an activated species of a treatment gas, such as a nitrogen-containing treatment gas, or combinations thereof. Several combinations of processes is described with reference to process 300A, 300B, 300C, 300D, 300E, and 300F. Other combinations are also completed.


Process 300A—Nitrogen-Containing Gas Treatment

As shown in FIG. 3, process 300A includes, in activity 304, after forming the nucleation layer (e.g., described in activity 302), treating the nucleation layer 404 or an outer surface of the tungsten gapfill material 408 (e.g., after optional activity 306) to inhibit tungsten deposition on a field surface of the substrate 400 at interfaces between adjacent tiers (e.g., necking points). Activity 304 forms a differential inhibition profile in the plurality of openings 405 by use of a differential inhibition process. Forming the differential inhibition profile includes exposing the sidewalls of the openings to a non-plasma activated nitrogen-containing gas (e.g., NF3, NH3, or N2H4).


Exposing the openings to the non-plasma activated nitrogen-containing gas includes flowing the nitrogen-containing gas for about 1 second to about 90 seconds, such as about 1 second to about 30 seconds, such as about 3 seconds to about 20 seconds, such as about 7 seconds to about 15 seconds. In some embodiments, the temperature of the substrate is maintained at about 200° C. to about 600° C., such as about 300° C. to about 500° C., such as about 400° C. to about 450° C. In some embodiments, nitrogen-containing gas is flowed at a rate of about 0.5 sccm to about 1000 sccm, such as about 100 sccm to about 500 sccmm, such as about 300 sccm to about 500 sccm, or about 600 sccm to about 800 sccm. In some embodiments, a thickness of the tungsten layer within the opening before the non-plasma activated nitrogen-containing gas is introduced to the opening is less than or equal to the thickness of the tungsten layer within the opening after the non-plasma activated nitrogen-containing gas is introduced. In some embodiments, the nitrogen-containing gas is combined with an inert carrier gas, such as Ar, He, or a combination thereof, to form a nitrogen-containing mixture. In some embodiments, a volumetric gas flow ratio of nitrogen-containing gas to inert carrier gas is about 1:10,000 to about 1:10, such as about 1:5 to about 1:2, or about 1:4 to about 1:1, such as about 1:3 to about 1:2.


After the treatment described in activity 304, a tungsten gap fill material 408 is formed in the opening at activity 306. In some embodiments, as shown in process 300A, activity 304 and activity 306 (e.g., together C1) can be repeated one or more times, such as once, or twice until the openings are filled.


Process 300B—Nitrogen-Containing Gas Treatment+Additional Nucleation

Similar to process 300A, process 300B includes activity 302 (optional activity 306 immediately following activity 302), activity 304, and activity 306 (e.g., together C2). After activity 306, one or more cycles (e.g., C2) of activities 302, 304, and 306 can be repeated.


Process 300C—Nitrogen-Containing Gas Treatment+Activated Species Treatment

Similar to process 300A, process 300B includes activity 302 (optional activity 306 immediately following activity 302), activity 304, and activity 306. In activity 305, after activity 306, the openings are treated with an activated species of a treatment gas, e.g., the treatment radicals from a remote plasma source. Suitable treatment gases that may be used for the inhibition process include N2, H2, NH3, NH4, O2, CH4, or combinations thereof. In some embodiments, the treatment gas includes nitrogen, such as N2, H2, NH3, NH4, or a combination thereof, and the activated species comprise nitrogen radicals, e.g., atomic nitrogen. In some embodiments, the treatment gas is combined with an inert carrier gas, such as Ar, He, or a combination thereof, to form a treatment gas mixture.


In some embodiments, the non-plasma activated nitrogen-containing gas of activity 304 is alternated with the treatment radicals of activity 305 beginning with the non-plasma activated nitrogen-containing gas or beginning with the treatment radicals. Between each treatment (either nitrogen-containing gas or nitrogen radicals), a tungsten gap fill material 408 deposited at least partially in one or more of the openings (e.g., activity 306). In process 300C, the non-plasma activated nitrogen—containing gas treatment of activity 304 and activity 306 (together C1) is followed by the activated species treatment of activity 305 and activity 306 (together C3). Depending on the inhibition profile needed, after C3, another iteration of C1, C2, C3, or combinations thereof can be performed. In some embodiments, one or more C1 cycles maybe completed followed by one or more C3 cycles, which can then both be repeated (e.g., C1+C3 repeated).


The sequence, time of exposure, and ratio of gas flow between the non-plasma activated nitrogen-containing gas (e.g., gas containing NF3, NH3, or N2H4) and the treatment radicals is determined based on the profile of the openings. For example, for necking points that are deep within the openings, a longer exposure of the non-plasma activated nitrogen-containing gas is used relative to treatment radicals. For necking points having a small width, and for very high aspect ratio features, a longer exposure of the non-plasma activated nitrogen-containing gas is used relative to the process of treating with radicals. A non-plasma activated nitrogen-containing gas duration relative to a treatment with radicals duration can be about 20:1 to about 1:20, such as about 5:1 to about 1:1, or about 1:2 to about 1:6.


Without intending to be bound by theory, it is believed that the activated nitrogen species formed during the treatment with radicals are incorporated into portions of the nucleation layer 404 by adsorption of the activated nitrogen species and/or by reaction with the metallic tungsten of the nucleation layer 404 to form a tungsten nitride (WN) surface. The adsorbed nitrogen and/or nitrided surface of the tungsten nucleation layer 404 desirably delays (inhibits) further tungsten nucleation and thus subsequent tungsten deposition thereon.


In some embodiments, exposing the nucleation layer 404 to the treatment radicals includes forming a treatment plasma 282A of a substantially halogen-free treatment gas mixture using the first radical generator 206A and flowing the effluent of the treatment plasma 282A into the processing region 221. In some embodiments, a flow rate of the treatment gas mixture into the first radical generator 206A, and thus the flow rate of the treatment plasma effluent, such as nitrogen gas, into the processing region 221, is about 1 sccm and about 3000 sccm, such as about 1 sccm and about 2500 sccm, such as about 1 sccm and about 2000 sccm, such as about 1 sccm and about 1000 sccm, such as about 1 sccm and about 500 sccm, such as about 1 sccm and about 250 sccm, such as about 1 sccm and about 100 sccm, such as about 1 sccm and about 75 sccm, such as about 1 sccm and about 50 sccm.


In some embodiments, the inhibition treatment process includes exposing the substrate 400 to the treatment radicals for a period of about 2 seconds or more, such as about 2 seconds to about 30 seconds, such as about 5 seconds to about 20 seconds, such as about 10 seconds to about 15 seconds.


In some embodiments, a concentration of the substantially halogen-free treatment gas in the treatment gas mixture is about 0.1 vol. % to about 50 vol. %, such as about 0.2 vol. % to about 40 vol. %, about 0.2 vol. % to about 30 vol. %, about 0.2 vol. % and about 20 vol. %, or, for example, such as about 0.2 vol. % and about 10 vol. %, such as about 0.2 vol. % and about 5 vol. %.


In other embodiments, the treatment radicals may be formed using a remote plasma (not shown) which is ignited and maintained in a portion of the processing volume 215 that is separated from the processing region 221 by the showerhead 218, such as between the showerhead 218 and the lid plate 216. In those embodiments, the activated treatment gas may be flowed through an ion filter to remove substantially all ions therefrom before the treatment radicals reach the processing region 221 and the surface of the substrate 400. In some embodiments, the showerhead 218 may be used as the ion filter. In other embodiments, a plasma used to form the treatment radicals is an in-situ plasma formed in the processing region 221 between the showerhead 218 and the substrate 400. In some embodiments, e.g., when using an in-situ treatment plasma, the substrate 400 may be biased to control the directionality and/or accelerate ions formed from the treatment gas, e.g., charged treatment radicals, towards the substrate surface.


In some embodiments, the inhibition treatment process includes maintaining the processing volume 215 at a pressure of less than about 100 Torr while flowing the activated treatment gas thereinto. For example, during the inhibition treatment process, the processing volume 215 may be maintained at a pressure of about 20 Torr or less, such as about 0.5 Torr and about 10 Torr, such as about 1 Torr and about 5 Torr.


Process 300D—Nitrogen-Containing Gas Treatment+Additional Nucleation+Activated Species Treatment

Process 300D includes activity 302 (optional activity 306 immediately following activity 302), activity 304, and activity 306 (together C2). After activity 306, an additional nucleation activity 302 is performed, followed by an activated species treatment of activity 305, followed by activity 306 (together C4). Additional iterations of C1, C2, C3, C4, and combinations thereof are also contemplated thereafter. In some embodiments, one or more C2 cycles maybe completed followed by one or more C4 cycles, which can then both be repeated (e.g., C2+C4 repeated).


Process 300E—Activated Species Treatment+Nitrogen-Containing Gas Treatment

Process 300E includes activity 302 (optional activity 306 immediately following activity 302), activity 305, and activity 306 (together C4). After activity 306, a non-plasma activated nitrogen-containing gas treatment of activity 304 is performed, followed by CVD tungsten gapfill for activity 306 (together C1). Additional iterations of C1, C2, C3, C4, and combinations thereof are also contemplated thereafter. In some embodiments, one or more C4 cycles maybe completed followed by one or more C1 cycles, which can then both be repeated (e.g., C4+C1 repeated).


Process 300F—Activated Species Treatment+Nitrogen-Containing Gas Treatment

Process 300F includes activity 302 (optional activity 306 immediately following activity 302), activity 305, and activity 306 (together C4). After activity 306, an additional nucleation activity 302 is performed, followed by a non-plasma activated nitrogen-containing gas treatment activity 304, followed by CVD tungsten gapfill for activity 306 (together C2). Additional iterations of C1, C2, C3, C4, and combinations thereof are also contemplated thereafter. In some embodiments, one or more C4 cycles maybe completed followed by one or more C2 cycles, which can then both be repeated (e.g., C4+C2 repeated).


Although not depicted in the Figures, in some embodiments, activities 304 and 305 immediately after one another without an intervening activity, such as activity 306.


In a typical semiconductor manufacturing scheme, a chemical mechanical polishing (CMP) process may be used to remove an overburden of tungsten material (and the barrier layer disposed there below) from the field surface of the substrate following depositing the tungsten gapfill material 408 into the opening 405.


While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A method of forming a structure on a substrate, comprising: exposing at least one opening formed in a multi-tier portion of the substrate to a tungsten-containing gas at a precursor gas flow rate;exposing the at least one opening of the substrate to a reducing agent comprising boron at a reducing agent flow rate, wherein the tungsten-containing gas and the reducing agent are alternated cyclically to form a nucleation layer within the at least one opening of the substrate;exposing the at least one opening of the substrate to a nitrogen-containing gas to inhibit growth of the nucleation layer at narrow portions within the at least one opening, wherein the nitrogen-containing gas is selected from the group consisting of NF3, NH3, N2H4, and combinations thereof;exposing the at least one opening to the tungsten-containing gas to form a fill layer over the nucleation layer within the at least one opening; andexposing the at least one opening of the substrate to the nitrogen-containing gas or a nitrogen-containing plasma to inhibit growth of portions of the fill layer along the at least one opening.
  • 2. The method of claim 1, wherein exposing the at least one opening to the tungsten-containing gas comprises a chemical vapor deposition process.
  • 3. The method of claim 1, wherein exposing the at least one opening to the nitrogen-containing gas and the nitrogen-containing plasma, comprises alternating the nitrogen-containing gas and the nitrogen-containing plasma.
  • 4. The method of claim 3, wherein exposing the at least one opening to the nitrogen-containing gas and the nitrogen-containing plasma, comprises exposing the substrate to the nitrogen-containing plasma prior to the nitrogen-containing gas.
  • 5. The method of claim 3, wherein exposing the at least one opening of the substrate to the nitrogen-containing gas and the nitrogen-containing plasma, comprises exposing the substrate to the nitrogen-containing gas prior to the nitrogen-containing plasma.
  • 6. The method of claim 1, further comprising determining a first interval of exposing the at least one opening of the substrate to the nitrogen-containing gas and a second interval of exposing the substrate to the nitrogen-containing plasma based on: an interface location of a first and second tier of the multi-tier portion along a length of the at least one opening;a width of the at least one opening at an interface of two adjacent tiers of the multi-tier portion;a width of the at least one opening at a surface of the opening;a ratio between a smallest width along the at least one opening to the largest width along the opening;an aspect ratio of the at least one opening; orcombinations thereof.
  • 7. A method of forming a structure on a substrate, comprising: exposing at least one opening formed within the substrate to a tungsten-containing precursor gas at a precursor gas flow rate, wherein the at least one opening comprises a lower portion and an upper portion, wherein the upper portion comprises a width smaller than a width of the lower portion;exposing the at least one opening of the substrate to a reducing agent comprising boron at a reducing agent flow rate, wherein the tungsten-containing precursor gas and the reducing agent are alternated cyclically to form a nucleation layer within the at least one opening of the substrate;exposing the opening to the tungsten-containing precursor gas to form a portion of a fill layer over the nucleation layer within the at least one opening;exposing the opening of the substrate to a nitrogen-containing species selected from the group consisting of NF3, NH3, N2H4, a nitrogen-containing plasma, and combinations thereof;exposing the opening to the tungsten-containing precursor gas to form the fill layer within the at least one opening; andexposing the opening of the substrate to the nitrogen-containing species to inhibit growth of the fill layer within the at least one opening.
  • 8. The method of claim 7, wherein the at least one opening further comprises a middle portion between the upper portion and the lower portion, wherein the middle portion comprises a width smaller than the width of the upper portion and the width of the lower portion.
  • 9. The method of claim 7, further comprising determining a first interval of exposing the substrate to a nitrogen-containing gas and a second interval of exposing the substrate to a nitrogen-containing plasma based on: an interface location of the upper and lower portions along the length of the at least one opening;a width of the opening at an interface of the upper and lower portions;a width of the opening at a surface of the opening;a ratio between a smallest width along the opening to the largest width along the opening; andan aspect ratio of the opening; or combinations thereof.
  • 10. The method of claim claim 7, wherein the opening is disposed within two or more tier layers, wherein an upper tier layer interfaces a lower tier layer at an interface, wherein a width of an opening within the upper tier layer at the interface is narrower than a width of an opening within the lower tier layer at the interface.
  • 11. The method of claim 7, wherein exposing the at least one opening of the substrate to a nitrogen-containing gas includes flowing the nitrogen-containing gas for about 1 seconds to about 30 seconds.
  • 12. The method of claim 7, wherein exposing the at least one opening of the substrate to a nitrogen-containing gas includes heating the substrate to a temperature of about 200° C. to about 600° C.
  • 13. The method of claim 7, wherein exposing the at least one opening of the substrate to a nitrogen-containing gas includes flowing the nitrogen-containing gas at a rate of about 0.5 sccm to about 500 sccm.
  • 14. The method of claim 7, wherein exposing the at least one opening of the substrate to a nitrogen-containing gas includes coflowing the nitrogen-containing gas with an inert carrier gas to form a nitrogen-containing mixture.
  • 15. The method of claim 7, wherein the nitrogen-containing species comprises a volumetric gas flow ratio of nitrogen-containing gas to inert carrier gas is about 1:10,000 to about 1:10.
  • 16. A method of forming a structure on a substrate, comprising: forming a tungsten nucleation layer within at least one opening formed in a multi-tier portion of the substrate;exposing the tungsten nucleation layer to a nitrogen-containing plasma to inhibit growth of the nucleation layer at narrow portions within the at least one opening;exposing the at least one opening to the tungsten-containing precursor gas to form a fill layer over the nucleation layer within the at least one opening; andexposing the at least one opening of the substrate to a nitrogen-containing gas to inhibit growth of portions of the fill layer along the at least one opening, wherein the nitrogen-containing gas is selected from the group consisting of NF3, NH3, N2H4, and combinations thereof.
  • 17. The method of claim 16, before exposing the at least one opening of the substrate to the nitrogen-containing gas, forming a second nucleation layer over the fill layer.
  • 18. The method of claim 16, after exposing the at least one opening of the substrate to the nitrogen-containing gas, forming a second fill layer within the at least one opening.
  • 19. The method of claim 18, further comprising exposing the second fill layer within the at least one opening to the nitrogen-containing gas or the nitrogen-containing plasma to inhibit growth of the second fill layer.
  • 20. The method of claim 19, further comprising forming a third fill layer within the at least one opening.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims benefit of U.S. provisional patent application Ser. No. 63/395,293, filed Aug. 4, 2022, which is herein incorporated by reference.

Provisional Applications (1)
Number Date Country
63395293 Aug 2022 US