Methods of manufacturing a lithography template

Abstract
A method for forming imprint lithography templates is described herein. The method includes forming a masking layer and a conductive layer on a substrate surface. The use of a conductive layer allows patterning of the masking layer using electron beam pattern generators. The substrate is etched using the patterned masking layer to produce a template.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


This present invention generally relates to lithography templates. More particularly, certain embodiments of the invention relate to the formation of imprint lithography templates fabricated by pattern generators.


2. Description of the Relevant Art


For imprint lithography, a relief pattern in a template is used, in conjunction with monomers/polymers to imprint a desired pattern into monomers/polymers on the surface of a substrate (e.g., semiconductors, dielectric materials, magnetic or optoelectonic materials.) The processes commonly used to manufacture projection photomasks are often applied to the manufacture of templates for imprint lithography. For this reason, it is useful to provide background of the manufacture of optical projection lithography and optical projection photomasks.


A conventional projection photolithography system projects a UV light pattern onto a light sensitive coating (e.g., a photoresist) to expose selected portions of the light sensitive coating. The light sensitive coating is developed to create a mask for a fabrication process such as etching or doping of the underlying substrate. The photolithography systems commonly employ a photomask or reticle that controls which portions of the light sensitive coating are illuminated. For integrated circuit manufacturing, the photomask has a precise pattern that the projection transfers, with or without demagnification, to the integrated circuit device.


Photomask making begins with an optically transparent substrate (e.g., quartz). One side of the transparent substrate is typically coated with an optically opaque film of a material (e.g., chromium). A resist material (e.g., a polymer) layer is then applied to the opaque film, and a pattern generation process exposes the photoresist layer to light or electron bombardment. Various types of pattern generation equipment are known. For example, scanning systems may be programmed with a digitized image or pixel pattern that corresponds to the desired pattern to be exposed on the photoresist layer. The scanning system exposes only the photoresist areas that correspond to the pixels having values indicating that the areas should be exposed.


Developing of the photoresist layer creates a photoresist pattern with openings that expose the underlying opaque layer. The photoresist pattern and openings have a critical or minimum feature size that depends on the pattern generation equipment used to expose the photoresist layer. An etching process, typically an anisotropic etch, using the photoresist pattern as an etching mask removes portions of the opaque layer to create an opaque pattern having openings. Etching of the opaque material may be difficult if the opaque material is a metal. Many metals produce particles and aggregates during a dry etch process which may be deposited on the substrate creating defects in the pattern. A wet etch process may be used to avoid the deposition of particles, however, wet etching processes suffer from undercutting problems for very small features. Following the etch, the photoresist pattern is stripped from the substrate, leaving a hard photomask that includes a discontinuous opaque pattern on the substrate. The photomask is then measured, inspected and repaired if necessary. The opaque pattern provides a high contrast binary image for the projection of the photomask in a photolithography system. Alternatively, the opaque layer may be removed to form a set of openings in the underlying transparent substrate. Such a process is users to create a “phase mask.” The depth of the openings formed on the transparent substrate are chosen to maximize the phase contrast at the exposure wavelength. Typically, a phase mask is inspected at the exposure wavelength to obtain the maximum contrast.


A problem with trying to apply photomask manufacturing process for the manufacture of imprint lithography templates is that the completed photomask tends to have a critical feature size that is generally larger than the feature size that the pattern generation equipment can create. In particular, the etching process using the photoresist pattern as etch mask is often a wet chemistry etch (or isotropic) process. An isotropic, wet chemistry etch process has historically been desirable because a wet etch process is inexpensive and relatively defect free. However, the isotropic etching undercuts the photoresist pattern by about the thickness of the opaque layer or more and makes the openings in the opaque pattern larger than the original openings in photoresist pattern. For previous generations of semiconductor devices, the undercutting, while not desirable, was acceptable. However, as feature sizes become smaller, the size of the undercut becomes more difficult to accommodate, and higher resolution generations of integrated circuits having smaller feature sizes have found the undercutting unacceptable.


To overcome this difficulty, the use of anisotropic etch of the opaque material has been explored. Heavy metal compounds that are liberated during the dry etch processes, however, inherently accrete and precipitate to create defects on the photomask surface. Additionally there tends to be relatively poor etch selectivity (between the photoresist pattern and the opaque layer) that results in some undercut because the dry etch widens the openings. Despite these difficulties, dry etching processes for the creation of high resolution photomasks are generally preferred despite the additional costs of defect repair and lower yields.


One method to avoid heavy metals, is to coat the photoresist directly onto the substrate and then to coat the photoresist with a conductive top coat (e.g., Aquatar). The conductive top coat will bleed a charge to facilitate high resolution e-beam patterning of the photoresist. The photoresist will act as the etch mask for etching a relief pattern into the quartz substrate with anisotropic, high selectivity etching and substantially no undercut.


Another method to eliminate heavy metals and still remove charge during e-beam patterning was reported by D. J. Resnick at the SPIE's 27h Annual International Symposium and Education Program on Microlithography, Mar. 3-8, 2002 in Santa Clara, Calif. By incorporating a permanent conductive layer of indium tin oxide on a substrate, charge bleeding is facilitated, not only during pattern generation, but also at subsequent inspections which may also use electron beams. However, this technique has drawbacks. The indium tin oxide layer, while transparent at visible wavelengths, is generally opaque at deep ultraviolet wavelengths thereby limiting the use of deep ultraviolet wavelengths in imprint lithography that would use such templates.


Imprint lithography templates also tend to have a much higher aspect ratio than photomasks. Thus, the depth of an imprint lithography template is typically greater than a depth of a photomask. The greater depth of the recesses in an imprint lithography template may make inspection difficult.


SUMMARY OF THE INVENTION

Described herein are methods for making templates for use in lithography systems. The methods described herein are directed to the production of templates with minimal undercut, low defect density, and improved inspectability. These methods are particularly useful for the formation of templates having features that have a lateral feature size of less than about 200 nm. For ultraviolet light curing applications, a template may be formed from an ultraviolet light transmissive substrate. The ultraviolet light transmissive substrate may be formed from a variety of materials (e.g., quartz). Initially, a conductive polysilicon layer is formed on the surface of the substrate. Upon the conductive polysilicon layer, a masking layer is formed. Masking layer may be composed of a photoresist material. The masking layer is partially patterned and developed. During the development process portions of the masking layer are removed to reveal portions of the underlying conductive polysilicon layer. The masking layer may be patterned using a pattern generator. Examples of pattern generators include electron beam pattern generators and laser beam pattern generators. The exposed portions of the conductive polysilicon layer may be etched using an anisotropic etch procedure. The etching of the conductive polysilicon layer is continued until portions of the underlying substrate are exposed. The exposed portions of the underlying substrate may be etched using an anisotropic process. Removal of the remaining portions of the conductive polysilicon layer and the masking layer is performed after the etching of the substrate is complete.


The use of a conductive polysilicon masking layer may allow increased contrast during inspection processes. In one embodiment, the thickness of the conductive polysilicon layer may be set to maximize the contrast between the template and the conductive polysilicon layer during a light inspection process. Alternatively the conductive polysilicon layer may have a predetermined thickness based on processing requirements. In this situation, the wavelength of light used for the inspection processed may be altered to maximize the contrast between the template and the conductive polysilicon layer.


In an alternate embodiment, a template may be formed using a conductive layer to aid in etching a masking layer. A masking layer may be disposed on a substrate (e.g., quartz). The masking layer may be composed of a photoresist material. A conductive layer may be disposed on the masking layer. In one embodiment, conductive layer is an aluminum layer. A pattern may be formed in the masking layer by exposing the masking layer to an electron beam pattern generator through the conductive layer and developing the masking layer. The conductive layer is removed and portions of the masking layer are removed to reveal the underlying substrate. The exposed portions of the underlying substrate may be etched using an anisotropic process. Removal of the remaining portions of the conductive polysilicon layer and the masking layer is performed after the etching of the substrate is complete.


In an alternate embodiment, a substrate is formed having a base layer, an etch stop layer and an upper layer, with the etch stop layer disposed between the base layer and the upper layer. The resulting substrate may be etched using either of the techniques described above. Etching of the substrate may be controlled by the etch stop layer. The etch stop layer may be formed of a material that has a substantially different etching rate than the upper layer. Because of this difference in etch rate, the etch stop layer may provide a more uniform depth of etching.





BRIEF DESCRIPTION OF THE DRAWINGS

Other objects and advantages of the invention will become apparent upon reading the following detailed description and upon reference to the accompanying drawings in which:



FIGS. 1A-1E depict partial cross-sectional views of a sequence of imprint lithography processing steps;



FIGS. 2A-2F depict partial cross-sectional views of a sequence of processing steps for forming an imprint lithography template using a conductive polysilicon layer and a masking layer during etching of a substrate;



FIGS. 3A-3C depict partial cross-sectional views of a sequence of processing steps for forming an imprint lithography template using a conductive polysilicon layer during etching of a substrate;



FIGS. 4A-4D depict partial cross-sectional views of a sequence of processing steps for forming an imprint lithography template using a conductive metal layer during etching of a masking layer;



FIGS. 5A-5D depict partial cross-sectional views of a sequence of processing steps for forming an imprint lithography template using a conductive polysilicon layer during etching of a substrate that includes an etch stop layer; and



FIGS. 6A-6D depict partial cross-sectional views of a sequence of processing steps for forming an imprint lithography template using a conductive metal layer during etching of a masking layer on a substrate that includes an etch stop layer.





It should be understood that the cross-sectional representations depicted in the figures are not of the entire template, but a representative portion of the template. Furthermore, it should be understood that the figures are not to scale and features have been exaggerated for illustrative purposes. While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawing and will herein be described in detail. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the present invention as defined by the appended claims.


DETAILED DESCRIPTION OF THE INVENTION

In general, a method of forming a pattern on a substrate may be accomplished by the use of imprint lithography processes. A typical imprint lithography process includes applying a curable liquid to a substrate, placing a patterned template in contact with the curable liquid, curing the liquid, and removing the template. The pattern of the template is imparted to the cured material disposed on the substrate.


A typical imprint lithography process is shown in FIGS. 1A through 1E. As depicted in FIG. 1A, template 12 is positioned in a spaced relation to the substrate 20 such that a gap is formed between template 12 and substrate 20. Template 12 may include a surface fabricated to take on the shape of desired features, which in turn, may be transferred to the substrate 20. As used herein, a “feature size” generally refers to a width, length and/or depth of one of the desired features. Surface of template 12 may be treated with a thin layer that lowers the template surface energy and assists in separation of template 12 from substrate 20. Devices for controlling the orientation and spacing of template 12 with respect to substrate 20 and methods for performing imprint lithography are discussed in U.S. Pat. No. 6,334,960 and U.S. patent application Ser. Nos. 09/908,455, 09/907,512, 09/920,341, 09/934,248, and 09/976,681 all of which are incorporated herein by reference.


A curable liquid 40 is disposed on the surface of substrate 20. Template 12 is brought into contact with curable liquid 40. Curable liquid 40 generally conforms to the shape of template 12 as depicted in FIG. 1B. The position of template 12 is adjusted to create a desired gap distance between the template and substrate 20. The position of template 12 may also be adjusted to properly align the template with the substrate. After template 12 is properly positioned, curable liquid 40 may be cured to form a masking layer 42 on the substrate. Template 12 may be removed from masking layer 42, leaving the cured masking layer on the surface of substrate 20, as depicted in FIG. 1C. Masking layer 42 has a pattern that is complementary to the pattern of template 12.


Masking layer 42 is used as a mask for etching substrate 20. Masking layer 42 is etched using an anisotropic process until portions of substrate 20 are exposed through masking layer 42, as depicted in FIG. 1D. Portions 44 of masking layer 42 remain on substrate 20 and are used to inhibit etching of portions of substrate 20. After etching of masking layer 42 is complete, substrate 20 is etched using known etching processes. Portions of substrate 20 disposed under portions 44 of masking layer 42 remain substantially unetched while the exposed portions of substrate 20 are etched. In this manner the pattern of template 12 is transferred to substrate 20. The remaining portions 44 of masking layer 42 may be removed to create a patterned substrate 20, depicted in FIG. 1E.


For imprint lithography techniques, as described above, a relief pattern in a template is used, in conjunction with monomers/polymers to create a desired pattern in a substrate. For integrated circuit manufacturing or other high resolution applications, the template should have a precise pattern that the pressing transfers, inherently with minimal demagnification, to the surface of the integrated circuit device. The processes commonly used to manufacture projection photomasks (for projection photolithography) may be applied to the manufacture of templates for imprint lithography.


For templates, where a relief pattern is pressed into the substrate, there is no requirement for an opaque layer, as is required for photomasks. In fact, some imprint lithography processes use light curable liquids. In such cases it is advantageous for the template to be formed from a light transmissive material. However, since imprinting is inherently a 1× pattern transfer process (i.e., no demagnification), it is desirable to use electron beam pattern generators (PGs) which generally have higher resolution than other pattern generation systems (e.g., laser pattern generators). Electron beam pattern generators strongly benefit from the use of an electrically conductive layer on the mask substrate in order to remove charge from the mask substrate during the pattern generation process. The opaque layers used in the formation of projection photomasks generally serve this additional purpose. For template manufacturing it would be desirable to select a conductive film that would remove charge during processing with an electron beam pattern generator, but one that would also facilitate the relief pattern etch, without the defect and etch selectivity problems associated the opaque heavy metals.


Turning to FIGS. 2A-2F, a process for making a template is depicted. FIG. 2A depicts a partial cross-sectional view of an imprint lithography substrate 110 with a conductive polysilicon layer 120 and a masking layer 130 formed upon substrate 110. Substrate 110 may be composed of a variety of materials including, but not limited to, silicon, silicon dioxide, silicon germanium, gallium nitride, silicon germanium carbon, sapphire, gallium arsinide, epitaxial silicon, polysilicon, quartz, indium tin oxide, SiOx (where x is less than 2) or combinations thereof. The curing process for some imprint lithography processes uses activating light (e.g., ultraviolet light) to cure a masking layer (e.g., photoresist). For activating light imprint lithographic processes it is desirable for the template to be substantially transparent to the wavelength of light used to cure a masking layer. Alternatively, the template may be formed from an opaque material if curing may be accomplished through the substrate during the imprint lithography process. In some embodiments, templates are formed from materials that are ultraviolet light transmissive. Examples of such materials include glasses. A glass as used herein is defined as a substantially transparent material that includes silicon dioxide (silica). Glass is typically composed of silicon dioxide, sodium carbonate (soda ash), and calcium oxide (lime). This type of glass is typically referred to as “soda-lime glass.” Additives may be added to soda-lime glass to alter properties of the glass. Boric oxide may be added to glass to improve the heat resistant properties of the glass. Soda-lime glass that includes boric acid is typically known as “borosilicate glass.” Other materials such as metals (e.g., titanium) and metal oxides (e.g., calcium oxide, lead oxide, lithium oxide, cerium oxide, etc.) may be added to soda-lime glass to alter properties of the glass. Glass enamels may be formed from lead borosilicate. Quartz glass differs from soda-lime glass in that it is composed of crystallized silicon dioxide. Quartz glass has a broad range of ultraviolet light transmissibility. Quartz glass may be preferred for use when deep ultraviolet light wavelengths are required for curing a masking layer on a substrate during an imprint lithography process.


Deposited upon substrate 110 is a conductive polysilicon layer 120. Conductive polysilicon layer 120 may be deposited using a chemical vapor deposition process (e.g., a plasma enhanced chemical vapor deposition (“PECVD”) process or a low pressure chemical vapor deposition (“LPCVD”) process using a silane source) or a sputtering process. The phrase “conductive polysilicon layer” is also meant to encompass alloys of polysilicon such as silicides. Examples of silicides include, but are not limited to, titanium silicide, tungsten silicide, and cobalt silicide. Conductive polysilicon layer 120 may be deposited to a thickness of approximately 50 to about 200 nm. As described later, the thickness of the polysilicon layer may be selected to maximize the contrast between conductive polysilicon layer 120 and substrate 110 during inspection of the template. The upper surface of conductive polysilicon layer 120 may be polished to substantially reduce its surface roughness. This polishing may be accomplished by mechanical polishing, chemical-mechanical polishing or sacrificial etchback. As a result, the thickness of polysilicon layer 120 may be reduced to a uniform thickness across substrate 110.


Conductive polysilicon layer 120 may be rendered conductive by implanting ions into the polysilicon layer. Examples of ions that may be implanted into the polysilicon include, but are not limited to p-type dopants such as boron, or n-type dopants, such as phosphorus or arsenic. Ions may be implanted using standard ion implantation devices or using spin-on dopant procedures that transfer ions into an underlying layer. In some embodiments, after ion implantation is completed conductive polysilicon layer 120 may be subjected to an anneal process. The anneal process may alter the distribution of ions in conductive polysilicon layer to make the distribution more homogenous. Alternatively, the deposited polysilicon layer may be rendered conductive by forming converting a portion of the polysilicon layer into a silicide.


In an alternate embodiment, conductive polysilicon layer 120 may be formed by depositing in-situ doped polysilicon on substrate 110. In-situ doped polysilicon is produced by using a combination of silane and a dopant in a chemical vapor deposition process. Examples of dopants include, but are not limited to phosphorus, boron, or arsenic. The use of in-situ doped polysilicon may render the template fabrication process more efficient as the ion implantation step, described above, may be eliminated from the processing scheme.


After formation of conductive polysilicon layer 120, a masking layer 130 is deposited upon the conductive polysilicon layer. Masking layer 130 is formed from a material that may be patterned using a pattern generator system. Pattern beam generators include both laser pattern generators and electron beam pattern generators. In one embodiment, an electron beam pattern generator system is used to create a pattern in masking layer 130. When an electron beam pattern generator is used, masking layer 130 is formed from a photoresist material. Any known photoresist material may be used, including both positive and negative photoresist materials as supplied by Brewer Science Inc., and other commercial suppliers.


When a photoresist is used as masking layer 130, the photoresist is formed by applying the photoresist material to conductive polysilicon layer 120 using a spin coating process. Masking layer 130 may be exposed to light or electrons using a light or electron beam pattern generator. When an electron beam pattern generator is used, conductive polysilicon layer 120 removes charge build up from masking layer 130 during the patterning process. Conductive polysilicon layer 120 may thus replace the conductive metals that have been used in similar photomask production processes. During an electron beam development process, portions of masking layer 130 are contacted with an electron beam. If a positive photoresist is used, the portions of the photoresist that were exposed to the electron beam (i.e., the “exposed” regions) are removed during a development process. If a negative resist is used, the exposed regions of the photoresist will remain after the development process. For both positive and negative photoresist the development process is a wet etch process. Electron beam pattern generators typically use a digital image of the desired pattern. The digital image is used to drive the electron beam to replicate the digital image on masking layer 130. The use of an electron beam pattern generator allows the formation of sub 100 nm features in the photoresist. FIG. 2B depicts a cross section view of the process after development of masking layer 130.


After etching of masking layer 130, the remaining portions of masking layer 130 are used to control etching of conductive polysilicon layer 120. Etching of conductive polysilicon layer 120 is performed using an anisotropic etch (e.g., a plasma etching process or a reactive ion etching process). The term “anisotropic” etch refers to, any process that removes substantially horizontal surfaces faster than substantially vertical surfaces. An anisotropic etch, such as a plasma etch, employs both physical and chemical removal mechanisms. Ions are bombarded at an angle substantially perpendicular to substrate. This causes substantially horizontal surfaces to be removed aster than substantially vertical surfaces. As depicted in FIG. 2C, the remaining portions of masking layer 130 inhibit etching of the underlying portions of conductive polysilicon layer 120. Etching of the exposed portions of conductive polysilicon layer 120 is continued until substrate 110 is reached.


In one embodiment, the remaining portions of masking layer 130 and conductive polysilicon layer 120 may be used in combination to control etching of substrate 110. Etching of substrate 110 is performed using an anisotropic etch (e.g., a plasma etching process or a reactive ion etching process). As depicted in FIG. 2D, the remaining portions of masking layer 130 and conductive polysilicon layer 120 together inhibit etching of the underlying portions of substrate 110. Etching of the exposed portions of substrate 110 is continued until a predetermined depth is reached. An advantage of using a combination of masking layer 130 and conductive polysilicon layer 120 as a mask for etching of substrate 110 is that the combined stack of layers may create a high aspect ratio mask (i.e., a mask that has a greater height than width). A high aspect ratio masking layer may improve the anisotropic etching process by inhibiting undercutting of the masking portions.


Prior to use in an imprint lithography process the remaining portions of masking layer 130 and conductive polysilicon layer 120 are removed. The remaining portions of masking layer 130 and conductive polysilicon layer 120 may be removed using a dry etch process or a wet etch process. In some embodiments, the layers may be removed sequentially. Masking layer 130 may be removed first, as depicted in FIG. 2E. Portions of conductive polysilicon layer 120 may remain on substrate 110. Prior to removal of conductive polysilicon layer 120, the template may be inspected to verify the accuracy of the etching process. The inspection process may involve the use of an electron beam scanning device (e.g., a scanning electron microscope). Inspection of the template may be performed while portions of conductive polysilicon layer 130 remain on substrate 110. Conductive polysilicon layer 130 allows the charge to be removed from the substrate during the electron beam scanning process.


Inspection may also be performed using a light inspection device (e.g., an ultraviolet light scanning device). Because conductive polysilicon layer 130 has a different index of refraction than substrate 110, the conductive polysilicon layer may have a different appearance during an light inspection process. The contrast between conductive polysilicon layer 130 and substrate 110 may be enhanced by control of the thickness of the conductive polysilicon layer. For example, conductive polysilicon layer 130 may be formed to maximize the reflectance of the inspection light off of the surface of the conductive polysilicon layer. The polysilicon thickness and the depth of the quartz etch combine to determine the phase and attenuation contrast of the inspection process. For instance, by inspecting in the deep ultraviolet light region, the polysilicon is substantially opaque, while the underlying substrate may allow at least partial transmission of the ultraviolet inspection light. Alternatively, maximum contrast for patterned polysilicon on an unpatterned substrate may be achieved by setting the thickness of conductive polysilicon layer 130 to be about ½ of the wavelength of light used for the light inspection 10 process. For example, if deep ultraviolet light having a wavelength of about 200 nm is used for inspection of the template, conductive polysilicon layer 130 may be formed having a thickness of about 100 nm. In this manner, the contrast may be increased between the etch portions of the substrate and the portions of the substrate on which the conductive polysilicon remain. The use of ½ wavelength polysilicon is believed to create an in-phase reflectance off of both surfaces which maximizes reflectance. Inspection using ultraviolet light may be accomplished by inspecting the top surface of the template (i.e., the surface upon which the conductive polysilicon layer is disposed) or through the bottom surface of the template. If inspection of the template is done after the substrate is etched, the thickness of the polysilicon is optimized to maximize the contrast during inspection.


Prior to use of the imprint lithography template, conductive polysilicon layer 120 is removed from substrate 110. Removal of conductive polysilicon layer 130 may be accomplished by use of isotropic etching (e.g., wet etching process) or anisotropic (e.g., dry etching processes).


An alternate processing scheme is depicted in FIGS. 3A-3C. The structure depicted in FIG. 3A is produced using the same sequence of steps as depicted in FIGS. 2A-2C, as described above. After conductive polysilicon layer 120 is etched, masking layer 130 is removed prior to etching the substrate, as depicted in FIG. 3A. This offers the advantage of allowing inspection of the patterned conductive polysilicon layer 130 prior to etching of substrate 110. Inspection of conductive polysilicon layer 120 may be performed using a light inspection device (e.g., ultraviolet light) or an electron scanning devices (e.g., a scanning electron microscope). If the pattern imparted to conductive polysilicon layer 120 is not accurate, substrate 110 may be removed from further processing, thus minimizing wasted time and resources.


Patterned conductive polysilicon layer 120 may be used to control etching of substrate 110. Etching of substrate 110 may be performed using an anisotropic etch (e.g., a plasma etching process or a reactive ion etching process). As depicted in FIG. 3B, the remaining portions of conductive polysilicon layer 120 inhibit etching of the underlying portions of substrate 110. Etching of the exposed portions of substrate 110 is continued until a predetermined depth is reached. Prior to use of the imprint lithography template, conductive polysilicon layer 130 is removed from substrate 110. Removal of conductive polysilicon layer 130 may be accomplished by use of isotropic etching (e.g., wet etching process) or anisotropic (e.g., dry etching processes).


Turning to FIGS. 4A-4D an alternate process for making a template is depicted. FIG. 4A depicts a partial cross-sectional view of an imprint lithography substrate 210 with a masking layer 220 and a conductive layer 230 formed upon substrate 210. Substrate 210 may be composed of a variety of materials including, but not limited to, silicon, silicon dioxide, silicon germanium, gallium nitride, silicon germanium carbon, sapphire, gallium arsenide, epitaxial silicon, polysilicon, glass, quartz, indium tin oxide, SiOx (where x is less than 2) or combinations thereof. Quartz may be preferred for use when deep ultraviolet light wavelengths are required for curing a masking layer on a substrate during an imprint lithography process.


Masking layer 220 may be any material that has a different etching rate than the substrate. Additionally masking layer 220 is formed from a material that may be patterned using a pattern generator system. In an embodiment, masking layer 220 is a photoresist material. Photoresist materials are applied to the substrate using a spin-on coating process. After application of masking layer 220, conductive layer 230 is formed overlying the masking layer. Conductive layer 230 is formed from a conductive metal (e.g., aluminum, indium tin oxide, gold, etc.). Conductive layer 230 is formed as a thin layer over masking layer 220. In some embodiments conductive layer 230 may have a thickness of less than about 100 A. The thickness of conductive layer 230 is set to allow the use of electron pattern generators to expose the underlying masking layer 220 through the conductive layer.


Masking layer 220 may be patterned using an electron beam pattern generator. When an electron beam pattern generator is used, conductive layer 230 may remove charge from the masking layer during the patterning process. During an electron beam development process portions of masking layer 220 are contacted with an electron beam through conductive layer 230. Either a positive or negative photoresist material may be used. The use of an electron beam pattern generator allows the formation of sub 100 nm features in the photoresist.


For both positive and negative photoresist the development process is a wet etch process. The removal of portions of the masking layer may be accomplished by removing conductive layer 230 and etching masking layer 220. Alternatively, the material used for conductive layer 230 may be chosen to allow removal of the conductive layer and portions of masking layer 220 using the same etching process. For example, masking layer 220 may be formed from a photoresist material that is etched using an alkaline solution. Conductive layer may be formed using a metal (e.g., aluminum) that is removed using an alkaline solution. Subjecting the substrate to an alkaline solution will remove the conductive layer and portions of the masking layer. FIG. 4B depicts a cross section view of the process after removal of conductive layer 230 and development of masking layer 220.


Patterned masking layer 220 may be used to control etching of substrate 210. Etching of substrate 210 may be performed using an anisotropic etch (e.g., a plasma etching process or a reactive ion etching process). Masking layer 220 inhibits etching of the underlying portions of substrate 210. Etching of the exposed portions of substrate 210 is continued until a predetermined depth is reached, as depicted in FIG. 4C. Prior to use of the imprint lithography template, masking layer °is removed from the substrate, as depicted in FIG. 4D. Removal of masking layer 220 may be accomplished by use of isotropic etching (e.g., wet etching process) or anisotropic (e.g., dry etching processes).


In another embodiment, an etch stop layer may be used to control etching of the substrate. FIG. 5A depicts a partial cross sectional view of a substrate 310 that includes an etch stop layer. Substrate 310 is composed of a base layer 312, an etch stop layer 314, and an upper layer 316. Base layer 312 and upper layer 316 may be composed of the same or different materials. Etch stop layer 314 is composed of a material that has a different etching rate than the material used for upper layer 316. Base layer 312, etch stop layer 314, and upper layer 316 may be composed of a variety of materials including, but not limited to, silicon, silicon dioxide, silicon germanium, gallium nitride, silicon germanium carbon, sapphire, gallium arsenide, epitaxial silicon, polysilicon, glass, quartz, indium tin oxide, SiOx (where x is less than 2) or combinations thereof. Quartz may be preferred as the material for the base and upper layers for use when ultraviolet light wavelengths are required for curing a masking layer on a substrate during an imprint lithography process. Etch stop layer is also formed from an ultraviolet light transmissive material. In one embodiment, the base and upper layers are composed of quartz and the etch stop layer is formed of indium tin oxide. Substrate 310 is formed by sequentially depositing etch stop layer 314 and upper layer 316 on base layer 312 using standard techniques.


Substrate 310 may be patterned to form a template using any of the methods described herein. FIGS. 5B-5D depict a processing scheme using a conductive polysilicon layer 320 as described above. As described above, a conductive polysilicon layer 320 and a masking layer 330 are deposited upon upper layer 316. Masking layer 330 may be patterned using any of a number of standard techniques. To produce features having a feature size below about 100 nm masking layer 330 is developed using an electron beam pattern generator. After exposure to a pattern generator, masking layer 330 is subjected to a wet etch to produce a patterned masking layer. The patterned masking layer 330 is used to create a patterned conductive polysilicon layer 320 by etching the conductive polysilicon layer as described above. (See FIG. 5B). Together the stack of conductive polysilicon and masking layer allow selective etching of upper layer 316.


As described above, etching of the exposed portions of upper layer 316 may be accomplished using known techniques. Etching of upper layer 316 is continued until the etch stop layer 314 is reached, as depicted in FIG. 5C. In an embodiment, the etching conditions are chosen such that the etch rate of the material that composes upper layer 316 is substantially faster than the etch rate of etch stop layer 314. In this manner, the etch stop layer serves as an indicator of when the proper depth is reached during an etch process. This may be particularly useful when features formed on the template have a variety of sizes. Generally features having a large surface area on the template will etch at a faster rate than features having a small surface area. This may lead to overetching of the larger features due to the inherent differential etch rates. By using etch stop layer this over etching of the upper layer may be avoided. This may be particularly important for the production of templates for imprint lithography. For an imprint lithography template, the depth of the etch portions of the template may determine the height of the features produced in the substrate. Accurate control of the height of the features on a substrate may be important to achieve proper patterning of the substrate.


In one embodiment, upper layer 316 is composed of quartz and etch stop layer 314 is composed of indium tin oxide. The use of such a combination allows easy selection of etching conditions that allow differential etching of the layers. Additionally both quartz and indium tin oxide are ultraviolet light transmissive materials.


As described above, conductive polysilicon layer 320 may be used to inspect the pattern prior to or after etching of the upper layer. After etching of upper layer 316 is completed, conductive polysilicon layer 320 and masking layer 330 are removed. The completed template is depicted in FIG. 5D.


Alternatively, etching of a substrate that includes an etch stop layer may be accomplished using a conductive layer and a masking layer as described earlier with reference to FIGS. 4A-4E. A sequence of etching a substrate that includes an etch stop layer using a conductive layer disposed on a masking layer is depicted in FIGS. 6A-6D.



FIG. 6A depicts a partial cross sectional view of a substrate that includes an etch stop layer. Substrate 310 is composed of a base layer 312, an etch stop layer 314, and an upper layer 316. Base layer 312 and upper layer 316 may be composed of the same or different materials, as has been described above. In one embodiment, the base and upper layers are composed of quartz and the etch stop layer is formed of indium tin oxide.


As described above, a masking layer 340 and a conductive layer 35C are deposited upon upper layer 316 of a substrate that includes an etch stop layer 314. (See FIG. 6B) Masking layer 340 may be developed through conductive layer 350 using an electron beam pattern generator. After development, masking layer 340 is subjected to a wet etch to produce a patterned masking layer. The patterned masking layer 340 is used to create control selective etching of the upper layer 316 as depicted in FIG. 6C. Etching of the substrate is continued until the etch stop layer is reached. As described above, the etching conditions are chosen such that the etch rate of the material that composes upper layer 316 is substantially faster than the etch rate of the etch stop layer. In this manner, the etch stop serves as an indicator of when the proper depth is reached during an etch process.


In one embodiment, upper layer 316 is composed of quartz and etch stop layer 314 is composed of indium tin oxide. The use of such a combination allows easy selection of etching conditions that allow differential etching of the layers. Additionally both the quartz and the indium tin oxide are ultraviolet light transmissive materials. After the etching of upper layer 316 is completed, masking layer 340 is removed. The completed template is depicted in FIG. 6D.


It should be understood that a template that includes an etch stop layer may be further patterned to create channels along the perimeter of the template, as has been described previously.


In this patent, certain U.S. patents, U.S. patent applications, and other materials (e.g., articles) have been incorporated by reference. The text of such U.S. patents, U.S. patent applications, anal other materials is, however, only incorporated by reference to the extent that no conflict exists between such text and the other statements and drawings set forth herein. In the event of such conflict, then any such conflicting text in such incorporated by reference U.S. patents, U.S. patent applications, and other materials is specifically not incorporated by reference in this patent.


Further modifications and alternative embodiments of various aspects of the invention will be apparent to those skilled in the art in view of this description. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, and certain features of the invention may be utilized independently, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims.

Claims
  • 1. A method of forming a lithography template, the method comprising: forming a conductive polysilicon layer upon a substrate, wherein the substrate is composed of a light transmissive material; forming a masking layer upon the conductive polysilicon layer; forming a pattern in the masking layer such that a portion of the conductive polysilicon layer is exposed through the masking layer; etching one or more of the exposed portions of the conductive polysilicon layer such that a portion of the substrate is exposed through the conductive polysilicon layer; and etching one or more of the exposed portions of the substrate.
  • 2. The method of claim 1, further comprising removing the masking layer and the conductive polysilicon layer, providing the substrate formed from a material selected from a set of materials consisting essentially of glass, quartz glass and fused silica, with said conductive polysilicon layer being n-doped polysilicon.
  • 3. The method of claim 1 wherein the conductive polysilicon layer has a conductivity sufficient to remove a portion of a charge from the substrate during patterning of the masking layer with an electron beam pattern generator.
  • 4. The method of claim 1, wherein the masking layer is a photoresist material, and wherein forming the pattern in the masking layer comprises: coating the conductive polysilicon layer with the photoresist material; exposing one or more portions of the photoresist material to an electron beam with an electron beam pattern generator; and removing one or more of the exposed portions of the photoresist material.
  • 5. The method of claim 1, wherein the masking layer is a photoresist material, and wherein forming the pattern in the masking layer comprises: coating the conductive polysilicon layer with the photoresist material; exposing one or more portions of the photoresist material to light with a laser pattern generator; and removing one or more of the exposed portions of the photoresist material.
  • 6. The method of claim 1 wherein the masking layer is a photoresist material, and wherein forming the pattern in the masking layer comprises: coating the conductive polysilicon layer with the photoresist material; exposing one or more portions of the photoresist material to an electron beam with an electron beam pattern generator; and removing one or more of the unexposed portions of the photoresist material.
  • 7. The method of claim 1 wherein the masking layer is a photoresist material, and wherein forming the pattern in the masking layer comprises: coating the conductive polysilicon layer with the photoresist material; exposing one or more portions of the photoresist material to light with a laser pattern generator; and removing one or more of the unexposed portions of the photoresist material.
  • 8. The method of forming alithography template, the method comprising: providing a substrate, the substrate comprising a base layer, an etch stop layer disposed upon the base layer, and an upper layer disposed above the etch stop layer, wherein the base layer, the etch stop layer, and the upper layer are composed of a light transmissive material; forming a conductive polysilicon layer upon the upper layer; forming a masking layer upon the conductive polysilicon layer; forming a pattern in the masking layer such that a portion of the conductive polysilicon layer is exposed through the masking layer; etching one or more of the exposed portions of the conductive polysilicon layer such that a portion of the substrate is exposed through the polysilicon layer; and etching one or more of the exposed portions of the substrate until the etch stop ayer is reached.
  • 9. The method of claim 8 wherein providing said substrate further includes providing the substrate formed from a material selected from a set of materials consisting essentially of glass, quartz glass and fused silica, forming said etch stop layer from indium tin oxide, and forming said upper layer from materials selected from a set consisting essentially of deposited silicon dioxide and grown quartz and said forming said conductive polysilicon layer further including forming said conductive polysilicon layer from n-doped polysilicon.
  • 10. The method of claim 8 wherein the conductive polysilicon layer has a conductivity sufficient to remove a portion of a charge from the substrate during etching of the masking layer with an electron beam pattern generator.
  • 11. The method of claim 8 wherein the masking layer is a photoresist material, and wherein forming the pattern in the masking layer comprises: coating the conductive polysilicon layer with the photoresist material; exposing one or more portions of the photoresist material to an electron beam with an electron beam pattern generator; and removing one or more of the exposed portions of the photoresist material.
  • 12. The method of claim 8 wherein the masking layer is a photoresist material, and wherein forming the pattern in the masking layer comprises: coating the conductive polysilicon layer with the photoresist material; exposing one or more portions of the photoresist material to light with a laser pattern generator; and removing one or more of the exposed portions of the photoresist material.
  • 13. The method of claim 8 wherein the masking layer is a photoresist material, and wherein forming the pattern in the masking layer comprises: coating the conductive polysilicon layer with the photoresist material; exposing one or more portions of the photoresist material to an electron beam with an electron beam pattern generator; and removing one or more of the unexposed portions of the photoresist material.
  • 14. The method of claim 8 wherein the masking layer is a photoresist material, and wherein forming the pattern in the masking layer comprises: coating the conductive polysilicon layer with the photoresist material; exposing one or more portions of the photoresist material to light with a laser pattern generator; and removing one or more of the unexposed portions of the photoresist material.
  • 15. The method of claim 8 wherein the depth of the pattern etched in the substrate is selected for a desired aspect ratio in the template and wherein inspecting the pattern formed on the conductive polysilicon layer comprises exposing the conductive polysilicon layer to an inspection light, wherein a thickness of the conductive polysilicon layer and the wavelength of the inspection light are predetermined to enhance a contrast between the patterned conductive polysilicon layer and the exposed portions of the substrate.
  • 16. The method of claim 8 wherein said conductive polysilicon layer has a thickness that is about ½ of a wavelength of an inspection light.
  • 17. A method of patterning a lithographic template, said method comprising: forming, on said lithographic template, a layer of conductive polysilicon material that is transparent to visible light; applying, on said layer of conductive polysilicon material, a masking layer; creating a pattern in said masking layer to expose a sub-portion of said layer of conductive polysilicon material; and transferring said pattern into said template.
  • 18. The method as recited in claim 17 wherein said polysilicon material is selected from a set consisting of titanium silicide, tungsten silicide and cobalt silicide.
  • 19. The method as recited in claim 17 wherein forming further includes depositing said layer of conductive polysilicon material employing chemical vapor deposition techniques.
  • 20. The method as recited in claim 17 wherein forming further includes implanting ions into said layer of conductive polysilicon layer.
  • 21. The method as recited in claim 20 wherein said ions are selected from a set of ions consisting of phosphorous, boron and arsenic.
  • 22. The method as recited in claim 20 further includes annealing said layer of conductive polysilicon material.
US Referenced Citations (173)
Number Name Date Kind
3783520 King Jan 1974 A
4070116 Frosch et al. Jan 1978 A
4119688 Hiraoka Oct 1978 A
4201800 Alcorn et al. May 1980 A
4426247 Tamamura et al. Jan 1984 A
4507331 Hiraoka Mar 1985 A
4512848 Deckman et al. Apr 1985 A
4552833 Ito et al. Nov 1985 A
4600309 Fay Jul 1986 A
4657845 Frechet et al. Apr 1987 A
4692205 Sachdev et al. Sep 1987 A
4707218 Giammarco et al. Nov 1987 A
4722878 Watakabe et al. Feb 1988 A
4724222 Feldman Feb 1988 A
4731155 Napoli et al. Mar 1988 A
4737425 Lin et al. Apr 1988 A
4808511 Holmes Feb 1989 A
4826943 Ito et al. May 1989 A
4848911 Uchida et al. Jul 1989 A
4857477 Kanamori Aug 1989 A
4891303 Garza et al. Jan 1990 A
4908298 Hefferon et al. Mar 1990 A
4919748 Bredbenner et al. Apr 1990 A
4931351 McColgin et al. Jun 1990 A
4964945 Calhoun Oct 1990 A
4976818 Hashimoto et al. Dec 1990 A
4980316 Huebner Dec 1990 A
4999280 Hiraoka Mar 1991 A
5028366 Harakal et al. Jul 1991 A
5053318 Gulla et al. Oct 1991 A
5071694 Uekita et al. Dec 1991 A
5074667 Miyatake Dec 1991 A
5108875 Thackeray et al. Apr 1992 A
5148036 Matsugu et al. Sep 1992 A
5148037 Suda et al. Sep 1992 A
5151754 Ishibashi et al. Sep 1992 A
5169494 Hashimoto et al. Dec 1992 A
5173393 Sezi et al. Dec 1992 A
5179863 Uchida et al. Jan 1993 A
5198326 Hashimoto et al. Mar 1993 A
5212147 Sheats May 1993 A
5234793 Sebald et al. Aug 1993 A
5240878 Fitzsimmons et al. Aug 1993 A
5242711 DeNatale et al. Sep 1993 A
5244818 Jokerst et al. Sep 1993 A
5314772 Kozicki et al. May 1994 A
5318870 Hartney Jun 1994 A
5324683 Fitch et al. Jun 1994 A
5328810 Lowrey et al. Jul 1994 A
5330881 Sidman et al. Jul 1994 A
5362606 Hartney et al. Nov 1994 A
5366851 Novembre Nov 1994 A
5374454 Bickford et al. Dec 1994 A
5376810 Hoenk et al. Dec 1994 A
5380474 Rye et al. Jan 1995 A
5417802 Obeng May 1995 A
5421981 Leader et al. Jun 1995 A
5422295 Choi et al. Jun 1995 A
5424549 Feldman Jun 1995 A
5425848 Haisma et al. Jun 1995 A
5431777 Austin et al. Jul 1995 A
5439766 Day et al. Aug 1995 A
5453157 Jeng Sep 1995 A
5458520 DeMercurio et al. Oct 1995 A
5468542 Crouch Nov 1995 A
5512131 Kumar et al. Apr 1996 A
5527662 Hashimoto et al. Jun 1996 A
5563702 Emery et al. Oct 1996 A
5601641 Stephens Feb 1997 A
5625193 Broude et al. Apr 1997 A
5654238 Cronin et al. Aug 1997 A
5669303 Maracas et al. Sep 1997 A
5670415 Rust Sep 1997 A
5700626 Lee et al. Dec 1997 A
5736424 Prybyla et al. Apr 1998 A
5743998 Park Apr 1998 A
5772905 Chou Jun 1998 A
5849209 Kindt-Larsen et al. Dec 1998 A
5849222 Jen et al. Dec 1998 A
5855686 Rust Jan 1999 A
5895263 Carter et al. Apr 1999 A
5900160 Whitesides et al. May 1999 A
5907782 Wu May 1999 A
5921778 Karmaker et al. Jul 1999 A
5926690 Toprac et al. Jul 1999 A
5948219 Rohner Sep 1999 A
5948470 Harrison et al. Sep 1999 A
5948570 Kornblit et al. Sep 1999 A
5952127 Yamanaka Sep 1999 A
6033977 Gutsche et al. Mar 2000 A
6035805 Rust Mar 2000 A
6039897 Lochhead et al. Mar 2000 A
6051345 Huang Apr 2000 A
6096655 Lee et al. Aug 2000 A
6133576 Shafer et al. Oct 2000 A
6150231 Muller et al. Nov 2000 A
6150680 Eastman et al. Nov 2000 A
6245213 Olsson et al. Jun 2001 B1
6245581 Bonser et al. Jun 2001 B1
6274294 Hines Aug 2001 B1
6309580 Chou Oct 2001 B1
6326627 Putvinski et al. Dec 2001 B1
6329256 Ibok Dec 2001 B1
6334960 Willson et al. Jan 2002 B1
6383928 Eissa May 2002 B1
6387783 Furukawa et al. May 2002 B1
6387787 Mancini et al. May 2002 B1
6388253 Su May 2002 B1
6391217 Schaffer et al. May 2002 B1
6391798 DeFelice et al. May 2002 B1
6455411 Jiang et al. Sep 2002 B1
6482742 Chou Nov 2002 B1
6489068 Kye Dec 2002 B1
6514672 Young et al. Feb 2003 B1
6517977 Resnick et al. Feb 2003 B1
6517995 Jacobson et al. Feb 2003 B1
6518168 Clem et al. Feb 2003 B1
6518189 Chou Feb 2003 B1
6534418 Plat et al. Mar 2003 B1
6541360 Lyons et al. Apr 2003 B1
6561706 Singh et al. May 2003 B1
6565928 Sakamoto et al. May 2003 B1
6580172 Mancini et al. Jun 2003 B1
6632742 Yang et al. Oct 2003 B1
6635581 Wong Oct 2003 B1
6646662 Nebashi et al. Nov 2003 B1
6676983 Fujiyama et al. Jan 2004 B1
6677252 Marsh Jan 2004 B1
6696220 Bailey et al. Feb 2004 B1
6703190 Elian et al. Mar 2004 B1
6713238 Chou et al. Mar 2004 B1
6716754 Hofmann Apr 2004 B1
6716767 Shih et al. Apr 2004 B1
6730256 Bloomstein et al. May 2004 B1
6737202 Gehoski et al. May 2004 B1
6743713 Mukherjee-Roy et al. Jun 2004 B1
6770852 Steger Aug 2004 B1
6776094 Whitesides et al. Aug 2004 B1
6777170 Bloomstein et al. Aug 2004 B1
6809356 Chou Oct 2004 B1
6828244 Chou Dec 2004 B1
20020042027 Chou et al. Apr 2002 A1
20020132482 Chou Sep 2002 A1
20020135099 Robinson et al. Sep 2002 A1
20020167117 Chou Nov 2002 A1
20020175298 Moniwa et al. Nov 2002 A1
20020177319 Chou Nov 2002 A1
20030034329 Chou Feb 2003 A1
20030080471 Chou May 2003 A1
20030080472 Chou May 2003 A1
20030081193 White et al. May 2003 A1
20030011368 Mancini et al. Jun 2003 A1
20030129542 Shih et al. Jul 2003 A1
20030205657 Voisin Nov 2003 A1
20030205658 Volsin Nov 2003 A1
20030215577 Wilson et al. Nov 2003 A1
20040008334 Sreenivasan et al. Jan 2004 A1
20040029041 Shih et al. Feb 2004 A1
20040036201 Chou et al. Feb 2004 A1
20040046288 Chou Mar 2004 A1
20040090611 Choi et al. May 2004 A1
20040110856 Young et al. Jun 2004 A1
20040112861 Choi et al. Jun 2004 A1
20040118809 Chou et al. Jun 2004 A1
20040124566 Sreenivasan et al. Jul 2004 A1
20040131718 Chou et al. Jul 2004 A1
20040137734 Chou et al. Jul 2004 A1
20040146792 Nimmakayala et al. Jul 2004 A1
20040156108 Chou et al. Aug 2004 A1
20040163563 Sreenivasen et al. Aug 2004 A1
20040168586 Baiey et al. Sep 2004 A1
20040192041 Jeong et al. Sep 2004 A1
20040197843 Chou et al. Oct 2004 A1
Foreign Referenced Citations (19)
Number Date Country
2800476 Jul 1978 DE
57-7931 Jun 1980 JP
55-88322 Dec 1986 JP
63-138730 Dec 1986 JP
1-196749 Aug 1989 JP
WO 9905724 Feb 1999 WO
WO 0021669 Apr 2000 WO
WO 0021689 Apr 2000 WO
WO 0147003 Jun 2001 WO
WO 0153889 Jul 2001 WO
WO 0169317 Sep 2001 WO
WO 0179592 Oct 2001 WO
WO 0190816 Nov 2001 WO
WO 0207199 Jan 2002 WO
WO 03079416 Sep 2003 WO
WO 03099536 Dec 2003 WO
WO 2004013693 Feb 2004 WO
WO 2004016406 Feb 2004 WO
WO 2004114016 Dec 2004 WO
Related Publications (1)
Number Date Country
20030205657 A1 Nov 2003 US