The present invention relates generally to a method of processing a substrate, and, in particular embodiments, to oxygen-free etching of non-volatile metals.
Generally, semiconductor devices used in electronics, such as mobile phones, digital cameras, and computers, are fabricated by sequentially depositing and patterning layers of dielectric, conductive, and semiconductor materials over a semiconductor substrate, using photolithography and etching to form structures that function as circuit components (e.g., transistors, resistors, and capacitors) and as interconnect elements (e.g., conductive lines, contacts and vias). Driven by a demand for low-cost electronics, the semiconductor industry has repeatedly reduced the minimum feature sizes in semiconductor devices to a few nanometers with innovations in lithography (e.g., immersion lithography and multiple patterning) to increase the packing density of components, thereby reducing the cost of integrated circuits (ICs). Further increase in density and reduction in cost is achieved using three-dimensional (3D) structures (e.g., the fin field-effect transistors (FinFET)) and, in some instances, stacking electronic components such as memory storage elements (e.g., the ferroelectric capacitor, the magnetic tunnel junction (MTJ), etc.) and precision passive components (e.g., the thin-film resistor (TFR) and the metal-insulator-metal (MIM) capacitor) in layers in between successive interconnect levels.
Plasma processing techniques, such as reactive ion etching (RIE), plasma-enhanced chemical vapor deposition (PECVD), plasma-enhanced atomic layer etch and deposition (PEALE and PEALD), sputter etch, physical vapor deposition (PVD), and cyclic etch-deposition (e.g., the Bosch etch process) have become indispensable in fabricating ICs. The diversity of materials used in IC fabrication such as semiconductors, insulators (including SiO2, Si3N4, high-k gate dielectrics, and low-k dielectrics), magnetic and ferroelectric films, and metals for interconnect and electrodes makes developing plasma processes, and generally fabrication processes, a challenge. Miniaturization to a few nanometers has intensified the challenge. Furthermore, introduction of unconventional materials (e.g., Co and Ru) at feature sizes below 20 nm may raise new issues in developing desired etch and deposition processes compatible with conventional Si IC fabrication.
In accordance with an embodiment of the present invention, a method of processing a substrate that includes: forming an etch mask over a ruthenium (Ru) metal layer of a substrate, the etch mask exposing a first portion of the Ru metal layer and covering a second portion of the Ru metal layer; and converting the first portion of the Ru metal layer into a volatile Ru etch product in a processing chamber, the converting including exposing the Ru metal layer of the substrate to a halogen-containing vapor, and to a ligand-exchange agent to form the volatile Ru etch product, where the converting is an oxygen-free process.
In accordance with an embodiment of the present invention, a method of processing a substrate that includes; performing a plasma-free and oxygen-free etch process, the performing including exposing the substrate including ruthenium (Ru) metal to a process gas mixture, the process gas mixture including a first halogen-containing gas and a second halogen-containing gas, the second halogen-containing gas including a halogen different from that of the first halogen-containing gas.
In accordance with an embodiment of the present invention, a method of processing a substrate that includes; loading the substrate in a processing chamber, the substrate including a non-volatile metal layer, an oxide layer, and a dielectric layer, the oxide layer including an oxide of the non-volatile metal, a surface of the substrate including the oxide layer and the dielectric layer; performing a pretreatment by exposing the substrate to a treatment gas to remove the oxide layer and expose the non-volatile metal layer; performing a non-plasma oxygen-free etch process selective to the dielectric layer by: exposing the substrate to chlorine (Cl2) in the processing chamber, the Cl2 reacting with the non-volatile metal to form an intermediate; and exposing the substrate to a ligand-exchange agent in the processing chamber, the ligand-exchange agent reacting with the intermediate to form volatile products, removing the non-volatile metal from the surface of the substrate.
For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
This application relates to methods of etching non-volatile metals, in particular, to a halogen-based, oxygen-free etching process that can etch non-volatile metals such as ruthenium (Ru), which is a new material useful but still challenging to be integrated with conventional semiconductor device fabrication processes. As the scaling of feature sizes of semiconductor devices continues, the interconnect-RC delay of conventional copper (Cu) lines and tungsten (W) contacts may be limiting the speed of digital circuits at small dimensions. New materials are being introduced at the 10 nm nodes and below to replace dense Cu lines and W contacts. Ru metal is a leading candidate for replacing copper and tungsten in these and other applications. Plasma etching of Ru may be possible, for example, utilizing halogen and oxygen chemistry. However, currently available Ru plasma etching processes are not sufficiently selective to other material used in the devices such as low-k dielectric. Therefore, a new etching method may be desired. Embodiments of the present application disclose methods of an oxygen-free etching for non-volatile metals. Further, the methods may also be performed in the absence of plasma. The inventors demonstrated the ability to etch Ru metal without using oxygen or plasma, which may be a substantial advantage over the conventional methods because the oxygen species and plasma conditions often lead to severe damages to low-k dielectric materials.
The methods described in this disclosure may advantageously enable the etching of non-volatile metals such as Ru as part of various back end of line (BEOL) processes, where the etching may be required to be selective to low-k dielectric. In various embodiments, the oxygen-free, non-plasma etching process may be utilized in fabrication of fully self-aligned vias (FSAV), Ru interconnect lines, Ru contacts and vias, and others. While this disclosure primarily describes the etching of Ru, other non-volatile metals may also be etched with the methods. Such metals may be including but not limited to osmium (Os), nickel (Ni), molybdenum (Mo), niobium (Nb), tungsten (W) and hafnium (Hf).
In the following, a multi-step oxygen-free etching process is first described referring to
In various embodiments, the substrate 100 may be a part of, or including, a semiconductor device, and may have undergone a number of steps of processing following, for example, a conventional process. The substrate 100 accordingly may comprise layers of semiconductors useful in various microelectronics. For example, the semiconductor structure may comprise the substrate 100 in which various device regions are formed.
In one or more embodiments, the substrate 100 may be a silicon wafer, or a silicon-on-insulator (SOI) wafer. In certain embodiments, the substrate 100 may comprise silicon germanium, silicon carbide, gallium arsenide, gallium nitride, and other compound semiconductors. In other embodiments, the substrate 100 comprises heterogeneous layers such as silicon germanium on silicon, gallium nitride on silicon, silicon carbon on silicon, as well layers of silicon on a silicon or SOI substrate. In various embodiments, the substrate 100 is patterned or embedded in other components of the semiconductor device.
The Ru metal may be deposited over the substrate to form the Ru metal layer 110 using suitable deposition techniques such as vapor deposition including chemical vapor deposition (CVD), physical vapor deposition (PVD), sputtering, and other processes. In certain embodiments, as illustrated in
In
Prior to performing an oxygen-free etching process, a pretreatment may be performed to remove the surface Ru oxide layer 120 to expose the surface of the Ru metal layer 110. In various embodiments, the pretreatment may be a plasma process or a radical process, for example, comprising exposing the substrate 100 to radical species generated from a halogen-containing pretreatment gas. In one embodiment, the pretreatment gas may comprise nitrogen trifluoride (NF3), chlorine (Cl2), chlorine trifluoride (ClF3), carbon tetrafluoride (CF4), sulfur hexafluoride (SF6), boron trichloride (BCl3), trifluoro methane (CHF3).
After removing the surface Ru oxide layer 120, the Ru metal layer 110 is exposed on surface, which may be subject to an oxygen-free etching process as described below. In certain embodiments, the incoming substrate 100 may not contain a surface Ru oxide layer and thereby a pretreatment to remove the surface Ru oxide layer may not be required, which nevertheless does not preclude any other pretreatment steps for the substrate 100 prior to the oxygen-free etching process.
The first step of the oxygen-free etching process is to form a Ru intermediate 140. The Ru intermediate 140 may be formed over the substrate 100 by exposing the substrate 100 to the first halogen-containing vapor 130. In various embodiments, the reaction between the first halogen-containing vapor 130 and the Ru metal may be self-limiting and only occurs at surface or near surface. Accordingly, as illustrated in
The “oxygen-free” feature of the methods described in this disclosure means that no dioxygen (O2), ozone (O3), or an oxygen-containing gas that generates oxygen radicals may be used during the etching process, ensuring the etching is not driven by oxygen species. It should be noted, however, as described above, oxygen may be found in various layers of the substrate 100, e.g., the surface Ru oxide layer 120 of the substrate 100 being processed by the “oxygen-free” etching process. Such oxygen may be assumed to have little to no impact as an etchant during the etching process. The inventors of this disclosure identified that conventional Ru etching processes are often plasma processes that uses oxygen (e.g., O2, O3, etc.), where the oxygen species may be the primary etchant. Alternately, conventional processes may use a mixture of oxygen and chlorine. In both cases, the oxygen-containing etchant species tend to be detrimental to other materials such as low-k dielectric. Accordingly, the methods herein, referred to as “oxygen-free” in this disclosure, advantageously avoid using any oxygen-containing process gas.
In various embodiments, the exposure to the first halogen-containing vapor 130 may be performed in the absence of plasma, and accordingly the oxygen-free etching process may not be a plasma process.
In certain embodiments, the halogenation of Ru may involve radical species such as chlorine radicals (Cl●). In one embodiment, a power of 500 W to 1000 1000 may be applied to the processing chamber to facilitate the generation of radical species without forming a plasma. During this first exposure step, the temperature of the substrate 100 may be kept at 100° C. or above in one embodiment, but in another embodiment may be between 120° C. and 300° C. Accordingly, the substrate 100 may be heated by a lamp or a heating coil, e.g., placed in the substrate holder prior to processing. In one or more embodiments, the pressure in the processing chamber may be between 1 Torr and 20 Torr.
The second step of the oxygen-free etching process comprises etching the Ru metal from the surface of the substrate 100 by further converting the Ru intermediate 140 to Ru etch product 160. In various embodiments, this conversion to the Ru etch product 160 may be realized by a ligand-exchange reaction, where one or more ligands of the ligand-exchange agent 150 replace those of the Ru intermediate 140 (e.g., chlorine) to further increase the volatility. As a result, the thin film of the Ru intermediate 140 formed during the first step (
In various embodiments, similar to the first exposure step, the second exposure step may be performed in the absence of plasma. The non-plasma feature of both the first and second exposure steps allows the oxygen-free etching process to proceed less aggressively, which may further improve the etch selectivity to materials such as low-k dielectric. Additionally, because the etching process may not require plasma, a processing system simpler than a conventional plasma processing system may advantageously be utilized to perform the oxygen-free etching process in various embodiments.
This second exposure step may be performed in a dry process. In various embodiments, the substrate 100 may be exposed to a vapor of the ligand-exchange agent 150, and the Ru etch product 160 may be etched to a gas phase. Accordingly, both the first and second exposure steps may be performed in a common processing chamber. In various embodiments, the exposure to the ligand-exchange agent 150 may be performed in the absence of plasma. Further, similar to the first exposure step, no O2, O3, or an oxygen-containing gas that generates oxygen radicals may be used to perform a dry process of the second exposure step. The etching process is thus still an “oxygen-free” process. During this second exposure step, the temperature of the substrate 100 may be kept at 100° C. or above in one embodiment, but in another embodiment may be between 120° C. and 300° C. In one or more embodiments, the pressure in the processing chamber may be between 1 Torr and 20 Torr.
As described above, the oxygen-free etching process may proceed step wise, which may be regarded as an atomic layer etching (ALE) or pseudo-ALE process, where the removal of material proceeds layer by layer. Therefore, the oxygen-free etching process may comprise a cyclic process where the steps described above (e.g.,
In certain embodiments, when the method is performed in a cyclic fashion, one or more evacuating or purging steps may be inserted between any exposure steps. An inert gas such as dinitrogen (N2) or a noble gas may be used in a purge step to purge the processing chamber prior to a subsequent exposure step. Ensuring there is no residual reactants in the processing chamber at each step may advantageously prevent any possible gas phase reactions and undesired material depositions.
In alternate embodiments, the exposure steps may be overlapped in time. For example, the exposure to the ligand-exchange agent 150 may be started while the first halogen-containing vapor 130 may be present in the processing chamber. In further embodiments, they may be completely merged into a single step to enable a continuous process of the oxygen-free etching process.
In contrast to the prior embodiments of a multi-step process, a single step of exposure may be performed. In
In
In
One advantage of forming the Ru interconnect level using a dry etch process as illustrated in
In
In the above example of
It is understood by a person skilled in the art that the flows described in
In
In
In
Embodiments may use a gas comprising Cl2 in the first step of the oxygen-free etching process. When the first exposure step was performed with a pure Cl2 gas at a temperature between 120° C. and 300° C., the inventors of this application have identified that the chlorination of Ru proceeded in the absence of oxygen and plasma. The substrate after the first exposure step was characterized with scanning electron microscopy (SEM) to confirm the surface thin film formation, secondary ion mass spectrometry (SIMS) was used to measure the depth of the fluorine treatment and the reduction of Ru thickness after the etch, and X-ray photoelectron spectroscopy (XPS) was used to measure the degree of chlorination of Ru, and the presence of Ru and Cl in the thin film formed on surface was confirmed.
Example embodiments of the invention are summarized here. Other embodiments can also be understood from the entirety of the specification as well as the claims filed herein.
Example 1. A method of processing a substrate that includes: forming an etch mask over a ruthenium (Ru) metal layer of a substrate, the etch mask exposing a first portion of the Ru metal layer and covering a second portion of the Ru metal layer; and converting the first portion of the Ru metal layer into a volatile Ru etch product in a processing chamber, the converting including exposing the Ru metal layer of the substrate to a halogen-containing vapor, and to a ligand-exchange agent to form the volatile Ru etch product, where the converting is an oxygen-free process.
Example 2. The method of example 1, the oxygen-free etch process further including repeating the exposure steps.
Example 3. The method of one of examples 1 or 2, further including: flowing the halogen-containing vapor to the processing chamber; and generating radicals of halogen from the halogen-containing vapor, where the radicals of halogen cause halogenation of the Ru metal.
Example 4. The method of one of examples 1 to 3, where the halogen-containing vapor includes chlorine (Cl2).
Example 5. The method of one of examples 1 to 4, where the exposing to the ligand-exchange agent is a dry process using a vapor of the ligand-exchange agent.
Example 6. The method of one of examples 1 to 5, where the ligand-exchange agent includes acetylacetone (ACAC) or hexafluoroacetylacetone (HFAC).
Example 7. The method of one of examples 1 to 6, where the exposing to the ligand-exchange agent includes acetic acid, amides, ethylene, or acetylene.
Example 8. The method of one of examples 1 to 7, where the surface of the substrate includes a Ru oxide layer, the method further including, prior to the converting, removing the Ru oxide layer to expose the surface portion of the Ru metal.
Example 9. The method of one of examples 1 to 8, where the removing is performed by exposing the Ru oxide layer to a vapor including nitrogen trifluoride (NF3).
Example 10. A method of processing a substrate that includes; performing a plasma-free and oxygen-free etch process, the performing including exposing the substrate including ruthenium (Ru) metal to a process gas mixture, the process gas mixture including a first halogen-containing gas and a second halogen-containing gas, the second halogen-containing gas including a halogen different from that of the first halogen-containing gas.
Example 11. The method of example 10, where the first halogen-containing gas includes chlorine and the second halogen-containing gas includes fluorine.
Example 12. The method of one of examples 10 or 11, where the first halogen-containing gas includes chlorine (Cl2).
Example 13. The method of one of examples 10 to 12, where the second halogen-containing gas includes carbon tetrafluoride (CF4), sulfur tetrafluoride (SF4), sulfur hexafluoride (SF6), nitrogen trifluoride (NF3), chlorine trifluoride (ClF3), or trifluoro methane (CHF3).
Example 14. The method of one of examples 10 to 13, further including maintaining the temperature of the substrate between 120° C. and 300° C. during the performing.
Example 15. A method of processing a substrate that includes; loading the substrate in a processing chamber, the substrate including a non-volatile metal layer, an oxide layer, and a dielectric layer, the oxide layer including an oxide of the non-volatile metal, a surface of the substrate including the oxide layer and the dielectric layer; performing a pretreatment by exposing the substrate to a treatment gas to remove the oxide layer and expose the non-volatile metal layer; performing a non-plasma oxygen-free etch process selective to the dielectric layer by: exposing the substrate to chlorine (Cl2) in the processing chamber, the Cl2 reacting with the non-volatile metal to form an intermediate; and exposing the substrate to a ligand-exchange agent in the processing chamber, the ligand-exchange agent reacting with the intermediate to form volatile products, removing the non-volatile metal from the surface of the substrate.
Example 16. The method of example 15, where the exposing to the Cl2 and the exposing to the ligand-exchange agent are overlapped.
Example 17. The method of one of examples 15 or 16, further including, prior to performing the non-plasma oxygen-free etch process, evacuating or purging the processing chamber to remove oxygen from the processing chamber.
Example 18. The method of one of examples 15 to 17, the non-plasma oxygen-free etch process further including an evacuating or purging step between the exposure steps.
Example 19. The method of one of examples 15 to 18, the non-volatile metal includes ruthenium (Ru), osmium (Os), or hafnium (Hf).
Example 20. The method of one of examples 15 to 19, where the ligand-exchange agent includes acetylacetone (ACAC) or hexafluoroacetylacetone (HFAC).
While this invention has been described with reference to illustrative embodiments, this description is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. It is therefore intended that the appended claims encompass any such modifications or embodiments.