Profiling solid state samples

Information

  • Patent Grant
  • 8609542
  • Patent Number
    8,609,542
  • Date Filed
    Monday, March 4, 2013
    11 years ago
  • Date Issued
    Tuesday, December 17, 2013
    10 years ago
Abstract
Methods may operate to position a sample within a processing chamber and operate on a surface of the sample. Further activities may include creating a layer of reactive material in proximity with the surface, and exciting a portion of the layer of reactive material in proximity with the surface to form chemical radicals. Additional activities may include removing a portion of the material in proximity to the excited portion of the surface to a predetermined level, and continuing the creating, exciting and removing actions until at least one of a plurality of stop criteria occurs.
Description
TECHNICAL FIELD

This disclosure relates generally to semiconductor devices and device testing, including the analysis of defects associated with microlens arrays.


BACKGROUND

Solid-state imagers typically comprise an array of pixel cells. Each pixel cell may contain one or more photosensors, which may comprise phototransistors, photoconductors, and/or photodiodes having stored charge in a diffusion region with a magnitude relative to the intensity of light received by the photosensor.


Each pixel cell may receive light focused through one or more microlenses. As the pixel cells decrease in size, the radius of each microlens may decrease. Manufacturing defects in the microlens can result in non-uniform or even blocked photosensors. Considering that the size of the microlenses are currently on the order of 3 μm or smaller, it is therefore increasingly important to reduce the number of lens defects as part of the manufacturing process. Reducing defects may increase fabrication yields, resulting in reduced pricing and improved market share.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 shows a cross section cutaway view of a semiconductor-based imager array, according to various embodiments of the invention.



FIG. 2 is a side view of a single solid state imager located within an array similar to FIG. 1, according to various embodiments of the invention.



FIG. 3 shows a cross section cutaway view of a semiconductor-based imager array having defects, according to various embodiments of the invention.



FIG. 4 illustrates a block diagram of a system for etching and profiling the surface of a solid state imager sample, according to various embodiments of the invention.



FIG. 5 shows a side view surface diagram of selective etch of a semiconductor-based imager array according to various embodiments of the invention.



FIG. 6 shows a flow diagram of selectively etching and profiling the surface of an imager sample, according to various embodiments of the invention.





DETAILED DESCRIPTION

Some of the disclosed embodiments provide a method for localized accelerated selective chemical etching of a solid state imager lens in preparation for profiling and problem diagnosis. In an embodiment, the localized accelerator comprises an electron beam, and the excited material comprises a halogen containing compound forming a layer on, or immediately above, the surface of the imager lens in a vacuum chamber, such as inside a scanning electron microscope (SEM). Localized electron beam assisted chemical etching provides a method of localized materials characterization that may be useful in imager lens defect analysis. This method allows for selective and/or sequential etching of various layers, and may be compared to what is known in the art as spot etching.


A variety of three-dimensional structures may be profiled, including solid state imager lenses, in an illustrative embodiment, by passing a gas phase halogen containing material over the surface of the lens in a vacuum chamber, and exciting the halogen atoms with an electron beam to form chemical radicals. By controlling the vacuum pressure and the gas flow, the mean free diffusion length of the radicals may be controlled, and etching of the lens surface may be confined to a specified region in the vicinity of an electron beam. Electrons from the primary beam, electrons scattered from the lens surface, as well as secondary electrons from the lens surface may all cause the formation of halogen radicals by dissociating the individual atoms of the halogen containing layer. The halogen containing layer may be adsorbed onto the surface of the lens, as may occur when using a base material such as xenon difluoride, which sublimates in a vacuum and may deposit on the surface of the lens.


The radicals may selectively, or non-selectively, etch portions of the lens surface, depending upon the selected combination of chemicals, and the etch products may be removed from the surface of the lens by a vacuum system pump. The surface may then be imaged with a SEM at selected intervals and the information stored for each image. Subsequent images may then be reconstructed with the earlier images to create a profile of the lens. This method provides a combination of chemical and spatial formation as a function of depth while removing layers of the lens surface.



FIG. 1 shows a cross section cutaway view of a semiconductor-based imager array 100, according to various embodiments of the invention. The array of semiconductor-based imager lenses 100 may include a lens layer 110, mounted on a frame layer 115, a color filter layer 120, a mask layer 125, a pixel cell layer 130 with a photoconversion device layer 135.



FIG. 2 is a side view of a single solid state imager located within an array similar to that shown in FIG. 1, according to various embodiments of the invention. The single pixel solid state photo cell 200 may include a lens layer 210, mounted on a frame layer 215, a color filter layer 220, a mask layer 225, a pixel layer 230 with a photoconversion device layer 235. Also shown is an example light ray 212 that enters the lens layer 210, is bent based upon the refraction of the lens as it passes through the subsequent layers until it reaches the photoconversion device layer 235, according to some embodiments of the invention.



FIG. 3 shows a cross section cutaway view of a semiconductor-based imager array 300 having defects similar to that of FIG. 1, according to various embodiments of the invention. The imager array 300 is shown having defects that are to be investigated using the methods of the present subject matter. Like elements are labeled similarly for clarification. The imager array 300, has a lens layer 310, mounted on a frame layer 315, a color filter layer 320, a mask layer 325, a pixel layer 330 with a photoconversion device layer 335. Example defects include an occlusion 340 in the mask layer 325 and a void 345 in the color filter layer 320.



FIG. 4 shows a detailed diagram of a system 400 which includes a reaction chamber 405 with a sample 410. In one embodiment, the sample 410 may include a semiconductor-based imager array similar to that shown in FIG. 1, or other component to be analyzed. An electron source 415 is coupled to the reaction chamber 405. In one embodiment, the electron source 415 generates an electron beam 418 directed at a surface of the sample 410. In one embodiment, the electron source is a focused scanning electron beam source such as that provided in a SEM. A beam focus device 430 is included to focus the electron beam 418. In one embodiment, a scanning device 435 is further included to scan a surface of the sample 410 with the beam 418, perhaps using a raster scanning motion.


A conduit 420 or other connection is shown coupling the reaction chamber 405 to a vacuum device 425. One of ordinary skill in the art, after reading this disclosure, will recognize that the vacuum device 425 may comprise a number of possible vacuum pumps such as mechanical pumps, turbo pumps, etc., all of which are within the scope of the various embodiments. A gas supply 440 is shown coupled to the reaction chamber 405. In one embodiment, the gas supply 440 provides one or more gas species in selected amounts. In some embodiments, the gas includes a gas species to dissociate into etching and coating species. In selected embodiments, the gas supply 440 also provides additional gasses such as scavenger gasses and/or noble gasses which may be used to preferentially remove other gasses that are present within the reaction chamber 405. In one embodiment, the gas supply 440 includes controlling mechanisms and circuitry to function as an atomic layer deposition (ALD) system. For example, selected gasses can be supplied in pulses, and purge gasses or evacuation steps can be included between gas pulses. Specific gasses include, but are not limited to, H2, O2, noble gasses, and carbon and halogen gasses such as CHF3. In one embodiment, a tube or other directing structure 442 is included to better direct the gas or gasses over the sample 410. One of ordinary skill in the art having the benefit of the present disclosure will recognize that ALD gas choice depends on the chemistry of the surface where layer deposition is desired.


A detector 450 is further included in the system 400, to detect electrons scattering from the surface of the sample 410. In one embodiment, the detector includes a secondary electron detector (not shown) for detecting secondary electrons 445. In one embodiment, the detector 450 is used to provide imaging capability to the system 400 such as in a scanning electron microscope configuration. In one embodiment, other detection capability is also included in detector 450 such as Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc. for detecting and quantifying material composition. In one embodiment, a profile of the exposed surface of the sample 410 may be generated from the information collected by the detector 450 and stored in memory. In one embodiment, multiple profiles may be assembled to reconstruct the solid state imager lens after processing within the reaction chamber 405 is complete.


Methods of profiling samples of solid state imager lenses, including electron beam techniques as described above, may be implemented using a wide variety of electronic devices, such as semiconductor devices, memory, telecommunication systems, wireless systems, and computers. Further, some embodiments of electronic devices may be realized as integrated circuits.



FIG. 5 illustrates one example of a method using some of the examples listed above. A gas species 520 is shown in a reaction chamber over a sample 510. In one embodiment, the gas species 520 includes CHF3. In one embodiment, the sample 510 includes a semiconductor-based imager lens. A first silicon region 514 and a second silicon region 516 are shown with a silicon dioxide region 518 located adjacent to the silicon regions 514, 516.


An electron beam 530 is shown directed at the sample 510. As discussed above, in one embodiment the electron beam 530 is used to image a portion of the sample 510, for example in a SEM device. Additional particles 532 are also shown that are generated as a result of the electron beam 530 interaction with the surface of the sample 510. Additional particles 532 include, but are not limited to secondary electrons and backscattered particles. In some embodiments, additional particles 532 may comprise particles which do not react with other additional particles 532.


In one embodiment, the electron beam is scanned over a surface 512 of the sample 510 and interacts with portions of the surface 512 such as silicon regions 514, 516 and silicon dioxide regions 518 during a scan. Although the electron beam 530 is indicated in FIG. 5 as a line, the diameter of the electron beam 530 can vary. In selected embodiments, the electron beam diameter is small, in the order of 1-5 nm, and a surface is scanned. In other selected embodiments, the electron beam diameter is large, in the order of over 20 nm, and a larger surface area of the sample 510 is covered without scanning. Although it is useful in selected embodiments to have the electron beam contact large regions of the sample 510, embodiments of the invention are not so limited.



FIG. 5 illustrates the gas species 520 as including a first subspecies 522 and a second subspecies 524. The illustration of two subspecies is used as an example only. In various embodiments, the gas species 520 can be broken down into more than two subspecies. In one embodiment, the gas species 520 reacts with the electron beam 530 and is dissociated into the first subspecies 522 and the second subspecies 524.



FIG. 5 shows the second subspecies 524 etching a surface 519 of the silicon dioxide region 518. Also shown are a first coating 540 on a top surface 515 of the first silicon region 514, and a second coating 542 on a top surface 517 of the second silicon region 516. In a separate reaction, one of the subspecies may also form the coatings. For example, the second subspecies 524 is shown in FIG. 5 forming the first and second coatings 540, 542.


Using CHF3 gas as an example of a gas species 520, a first subspecies example may include HF and a second subspecies includes CF2. In the example, the CF2 subspecies may react with SiO2 to form SiOFx and COx byproducts and the SiO2 surface, such as surface 519 in FIG. 5, is etched in the reaction. Further, in the example, the CF2 subspecies may deposit a coating on Si surfaces such as surfaces 515 and 517 of FIG. 5. In one embodiment, the coating is deposited in a polymerization reaction. An advantage of using a carbon and halogen containing gas includes the ability to both etch and deposit a coating concurrently. Specifically with SiO2 and Si surfaces present, carbon may be used in the chemical reaction to etch SiO2 and the carbon further provides material to form the coating.


An advantage of forming a coating concurrent with etching includes the ability to further enhance selectivity in an etching operation. In one embodiment, the coating serves as a sacrificial coating, and further protects the coated surface from etching. As discussed above, in one embodiment, selective etching may be defined as a large difference in etch rate, with a material such as silicon etching, but at a much slower rate than another adjacent material such as silicon dioxide. The presence of a coating may further reduce or eliminate any etching of the non selected material. Enhanced selectivity provides a number of advantages including the ability to form more detailed structures with sharper edge profiles, etc.


As mentioned above, in one embodiment the coating contains both carbon and an amount of halogen such as fluorine. In one embodiment, a ratio of halogen to carbon is controlled to tailor the chemical and physical properties of the coating. This can be done by selecting different chemical species. Controlling the coating chemistry further enhances desired properties such as selective etching. In one embodiment, the ratio of halogen to carbon is 0.5:1 or lower. For example, materials with a lower ratio of halogen to carbon may provide better resistance to etching. In one embodiment, this ratio is approximately 4:1. In one embodiment, the ratio of halogen to carbon in the coating is controlled by further introducing a scavenger gas to the reaction chamber. In one embodiment, the halogen to carbon ratio is within a range of about 2:2 to about 3:1. In one embodiment, the scavenger gas is chosen to react with the halogen to form a byproduct gas that is removed from the reaction chamber by the vacuum system. In this way, the amount of halogen is reduced in the coating.


In one embodiment, the scavenger gas includes hydrogen gas (H2). In a carbon-fluorine gas example, hydrogen forms HF gas, and thus reduces the amount of fluorine available in the chamber to form the coating. In one embodiment, a scavenger gas is introduced to remove other species. For example, if it is desirable to have a high ratio of halogen to carbon in a coating, a scavenger gas such as O2 can be introduced to preferentially remove carbon from the system, forming COx gases. In one embodiment, the halogen to carbon ratio is about 4:1


In one embodiment, a noble gas is further introduced to the system. Examples of noble gasses includes helium, neon, argon, krypton, xenon, and radon. In one embodiment, the addition of a noble gas further enhances the dissociation of the gas species 520 from FIG. 5 in addition to the dissociation provided by the electron beam 530. In one embodiment, the gases are pulsed to control exposure. One mechanism of enhanced dissociation from noble gasses includes electron attachment dissociation.



FIG. 6 shows a flow diagram 600 illustrating a method of profiling a solid state imager lens surface, according to one embodiment of the invention. In block 605, a sample imager lens surface is included within a processing chamber, and a gas is introduced. In one embodiment, the semiconductor surface includes one or more solid state imager lens arrays. One processing chamber includes an in-line production chamber where imager lenses are passed from station to station in a vacuum. In one embodiment, a processing chamber includes a chamber of a scanning electron microscope (SEM) as will be discussed in more detail below.


In one embodiment, the gas includes a gas capable of dissociating into one or more species capable of etching a region of the semiconductor surface. In one embodiment, the gas includes a gas that dissociates when exposed to energies supplied by an electron beam, including, but not limited to a beam in an SEM. In one embodiment, the gas includes a halogen species. Examples of halogens include fluorine, chlorine, bromine, iodine, and astatine. In one embodiment, the gas further includes carbon. One example of a gas that includes carbon and fluorine as a halogen includes CF4. In one embodiment, the gas includes other species such as hydrogen or another element. One example of a gas including hydrogen is CHF3. In one embodiment, other species in addition to carbon and a halogen include multi-component species such as a carbon and hydrogen chain, or other combinations of elements.


In block 610, the gas is exposed to an electron beam. As discussed above, in one embodiment, the electron beam is generated by an electron beam source in an electron microscope such as an SEM. The electron beam can be focused upon a sample surface using electromagnetic lenses. In some embodiments, the SEM configuration also provides a system to scan the electron beam over an area of the sample surface. In some embodiments, an imaging system is further included. In one embodiment, an imaging system includes devices such as a secondary electron detector. The use of timed exposure of the electron beam can allow one to etch to a desired depth, resulting in a stop criterion which would initiate subsequent steps in the process.


One advantage of an SEM configuration includes the ability to focus on and scan only a selected portion of the sample surface such as a single imager element of a solid state imager lens array. Another advantage of an SEM configuration includes the ability to concurrently image the selected portion of the sample surface being exposed to the electron beam. The ability to image allows a user to easily select the region to be exposed to the electron beam from the bulk of the imager lens array sample surface.


In one embodiment, a material composition detection system is further included. Examples of material composition detection systems include, but are not limited to x-ray detection systems, Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc. In one embodiment, a material composition detection system is used to quantify composition of a coating that is grown in conjunction with electron beam interaction.


Although an electron microscope is used as an example of an electron beam source, embodiments of the invention are not so limited. Other embodiments include an electron beam source without additional microscope elements such as lenses, raster scanning systems, secondary electron detectors, etc.


In block 615, the gas may be at least partially dissociated into a number of reactive species. In one embodiment, the energy from the electron beam provides at least a portion of the energy necessary to dissociate the gas into the number of reactive species. The exact composition of the species will depend on the gas that is used. For example, CF4 gas may dissociate into a number of species such as CF3, CF2, and CF. One of ordinary skill in the art, having the benefit of the present disclosure, will recognize that the energy of the electron beam can be adjusted to more effectively dissociate the gas depending on the specific gas chemistry chosen.


In one embodiment, the gas is chosen such that the reactive species selectively etch a specific material on the imager lens sample surface. For example, the reactive species may be chosen to etch silicon dioxide. In one embodiment, the reactive species generated from the gas does not etch a second material such as silicon. In one embodiment, a selective reaction such as etching is determined by a large difference in reaction rate. Although a reaction may be described as occurring on one material and not on another, in one embodiment the reaction may occur on both materials, with a substantial difference in reaction rate being observed, such as a ratio of about 10:1 to about 100:1.


In block 620, a coating is deposited on a region of the imager lens sample surface, while an etching reaction occurs at substantially the same time (e.g., concurrently) on another region of the imager lens sample surface. One example includes a silicon dioxide region that is adjacent to a silicon region. In one embodiment, a coating is deposited on the silicon region while the silicon dioxide region is etched at substantially the same time. Further, in one embodiment, a coating is deposited on the silicon dioxide region while the silicon region is etched at substantially the same time. Although silicon and silicon dioxide are used as examples, embodiments of the invention are not so limited. Other solid state imager lens materials can be selectively etched or coated using appropriate gas chemistry that will be appreciated by one of ordinary skill in the art, including halogen to carbon ratios in the range of about 1:1 to about 4:1, after reading the present disclosure.


In one embodiment, the coating deposited at step 620 includes a carbon containing coating. In one embodiment, the coating includes an amount of halogen. Using such an example, the coating can be characterized using a ratio of halogen to carbon.


In block 625, the imager lens sample surface is imaged by collecting the information obtained by the detector. In one embodiment a surface topography capability is further included. In another embodiment, the imaging is initiated by a stop criterion of a prior step in the process, such as the expiration of a timed etch process, detection of the presence or absence of specific materials by a sensor, or the completion of a gas evacuation process. Examples of surface topography include profiling systems for storing an image for each processing cycle in which the sample surface is etched in a selected region to expose a defect such as a void or occlusion within the sample.


In block 630, the individual images of the surface topography are reassembled to reconstruct a three dimensional profile of the sample as it existed prior to etching or deconstruction. In one embodiment, the information collected may provide an indication of the source of a defect within the processing of the imager lens array when manufactured. In one embodiment, information collected may provide geometry and position of the defect, suggesting a time or step in the manufacturing process within which the defect was introduced. In one embodiment, the reconstructed profile comprises material that has been removed and reconstructed either mathematically or graphically.


While solid state imager lenses have been discussed in association with the various examples herein, the various embodiments are not to be so limited. Any three dimensional structure formed according to the various methods described herein may be profiled in the same manner.


Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement which is calculated to achieve the same purpose may be substituted for the specific embodiment shown. This application is intended to cover adaptations or variations of the present subject matter. It is to be understood that the above description is intended to be illustrative, and not restrictive. Combinations of the above embodiments, and other embodiments will be apparent to those of skill in the art upon reviewing the above description. The scope of the present subject matter should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.


In the preceding detailed description, reference is made to specific examples by way of drawings and illustrations. These examples are described in sufficient detail to enable those skilled in the art to practice the inventive subject matter, and serve to illustrate how the inventive subject matter may be applied to various purposes or embodiments. References to “an”, “one”, or “various” embodiments in this disclosure are not necessarily to the same embodiment, and such references contemplate more than one embodiment. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present disclosure. The various embodiments are not necessarily mutually exclusive, as some embodiments can be combined with one or more other embodiments to form new embodiments.


Such embodiments of the inventive subject matter may be referred to herein individually or collectively by the term “invention” merely for convenience and without intending to voluntarily limit the scope of this application to any single invention or inventive concept, if more than one is in fact disclosed. Thus, although specific embodiments have been illustrated and described herein, any arrangement calculated to achieve the same purpose may be substituted for the specific embodiments shown. This disclosure is intended to cover any and all adaptations or variations of various embodiments. Combinations of the above embodiments, and other embodiments not specifically described herein, will be apparent to those of skill in the art upon reviewing the above description.


The Abstract of the Disclosure is provided to comply with 37 C.F.R. §1.72(b), requiring an abstract that will allow the reader to quickly ascertain the nature of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. In addition, in the foregoing Detailed Description, it can be seen that various features are grouped together in a single embodiment for the purpose of streamlining the disclosure. This method of disclosure is not to be interpreted to require more features than are expressly recited in each claim. Rather, inventive subject matter may be found in less than all features of a single disclosed embodiment. Thus the following claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separate embodiment.

Claims
  • 1. A method for profiling a solid state lens structure, the method comprising: exposing a gas and/or the solid state lens structure to an energy beam such that a first region of the solid state lens structure is removed and a second region of the solid state lens structure is coated concurrently with the first region being removed;constructing a profile of the solid state lens structure at a selected location; andcompiling a plurality of profiles of the solid state lens structure, each profile from one of a plurality of selected locations.
  • 2. The method of claim 1 wherein exposing the gas and/or the solid state lens structure to the energy beam includes at least partially dissociating the gas.
  • 3. The method of claim 1 wherein the energy beam is an electron beam.
  • 4. The method of claim 1 wherein the energy beam is configured to dissociate the gas into a plurality of species.
  • 5. The method of claim 1 and further comprising choosing the gas such that a reactive species of the gas is configured to selectively etch the first region of the solid state lens structure.
  • 6. The method of claim 5 wherein choosing the gas comprises choosing a gas such that the reactive species does not etch the second region of the solid state lens structure.
  • 7. The method of claim 1 wherein the second region is coated with a carbon containing coating.
  • 8. The method of claim 7 wherein the second region includes halogen such that a ratio of halogen to carbon is in a range of 1:1 to 4:1.
  • 9. A method for profiling a solid state lens structure, the method comprising: exposing a gas and/or the solid state lens structure to an energy beam;partially dissociating the gas to form an etching species configured to react with a first region of the solid state lens structuredepositing a coat on a second region of the solid state lens structure concurrently with forming the etching species; andexamining a surface of the solid state lens structure to obtain a profile of the surface at a selected location.
  • 10. The method of claim 9 and further comprising assembling individual images of the surface to reconstruct a three dimensional profile of the solid state lens structure as it existed prior to etching or deconstruction.
  • 11. The method of claim 9 and further comprising compiling a plurality of profiles of the surface over a plurality of processing cycles, each profile obtained from one of a plurality of selected locations of the surface.
  • 12. The method of claim 11 wherein each profile is obtained during a different cycle than the other profiles of the plurality of profiles.
  • 13. The method of claim 9 and further comprising reconstructing the profile in response to a material that has been removed from the surface.
  • 14. The method of claim 13 wherein reconstructing comprises reconstructing the profile either mathematically or graphically.
  • 15. The method of claim 9 wherein the first region comprises silicon and the second region comprises silicon dioxide.
  • 16. The method of claim 9 and further comprising choosing the gas responsive to compositions of each of the first and second regions.
  • 17. The method of claim 9 wherein the gas includes a gas that dissociates responsive to energies supplied by an electron beam.
  • 18. A method for profiling a solid state lens structure in a chamber, the method comprising: introducing a gas to a surface of the solid state lens structure in the chamber;generating an electron beam such that the electron beam is configured to scan the surface;forming an etching subspecies and a coating subspecies from the gas such that a first region of the solid state lens structure is etched by the etching subspecies and a second region of the solid state lens structure is coated by the coating subspecies concurrently with the etching;compiling a plurality of profiles of the surface, each profile from one of a plurality of selected locations of the surface, to reconstruct a three dimensional profile of the surface as it existed prior to the etching.
  • 19. The method of claim 18 wherein generating the electron beam comprises generating a beam diameter in a range of 1-20 nm.
  • 20. The method of claim 18 wherein the coating subspecies is configured to create a polymerization reaction with the second region.
RELATED APPLICATIONS

This is a continuation of U.S. application Ser. No. 12/861,543, filed Aug. 23, 2010, which is a divisional of U.S. application Ser. No. 11/503,680, filed Aug. 14, 2006, now issued as U.S. Pat. No. 7,791,071, which are incorporated herein by reference in their entirety.

US Referenced Citations (161)
Number Name Date Kind
4260649 Dension et al. Apr 1981 A
4543486 Rose Sep 1985 A
4579750 Bowen et al. Apr 1986 A
4581248 Roche Apr 1986 A
4624736 Gee et al. Nov 1986 A
4655849 Schachameyer et al. Apr 1987 A
4668304 Schachameyer et al. May 1987 A
4670063 Schachameyer et al. Jun 1987 A
4670064 Schachameyer et al. Jun 1987 A
4685976 Schachameyer et al. Aug 1987 A
4694777 Roche Sep 1987 A
4735822 Ohtoshi et al. Apr 1988 A
4832781 Mears May 1989 A
4933206 Cox Jun 1990 A
4938996 Ziv et al. Jul 1990 A
4940505 Schachameyer et al. Jul 1990 A
4980198 Dowben et al. Dec 1990 A
5032435 Biefeld et al. Jul 1991 A
5047649 Hodgson et al. Sep 1991 A
5102830 Sandhu Apr 1992 A
5140164 Talbot et al. Aug 1992 A
5155053 Atkinson Oct 1992 A
5164222 Gottsleben et al. Nov 1992 A
5326981 Hara et al. Jul 1994 A
5356514 Kinoshita Oct 1994 A
5387443 Ota et al. Feb 1995 A
5403433 Morrison et al. Apr 1995 A
5419822 Dakesian et al. May 1995 A
5429730 Nakamura et al. Jul 1995 A
5438019 Sandhu Aug 1995 A
5472935 Yandrofski et al. Dec 1995 A
5508368 Knapp et al. Apr 1996 A
5622567 Kojima et al. Apr 1997 A
5639342 Chen et al. Jun 1997 A
5641545 Sandhu Jun 1997 A
5648114 Paz De Araujo et al. Jul 1997 A
5682041 Kawakubo et al. Oct 1997 A
5733609 Wang Mar 1998 A
5754297 Nulman May 1998 A
5759923 McMillan et al. Jun 1998 A
5800617 Sandhu Sep 1998 A
5807650 Komano et al. Sep 1998 A
5825035 Mizumura et al. Oct 1998 A
5834331 Razeghi Nov 1998 A
5942854 Ryoji et al. Aug 1999 A
5976328 Azuma et al. Nov 1999 A
5985693 Leedy Nov 1999 A
5989928 Nakata et al. Nov 1999 A
6051287 Marsh Apr 2000 A
6064800 Sandhu May 2000 A
6091071 Franz et al. Jul 2000 A
6113751 Morgenthaler Sep 2000 A
6143085 Marsh Nov 2000 A
6165334 Watanabe Dec 2000 A
6177147 Samukawa et al. Jan 2001 B1
6187492 Ri et al. Feb 2001 B1
6194325 Yang et al. Feb 2001 B1
6214183 Maishev et al. Apr 2001 B1
6281072 Li et al. Aug 2001 B1
6291341 Sharan et al. Sep 2001 B1
6309972 Pio Oct 2001 B1
6310341 Todokoro et al. Oct 2001 B1
6462333 Gersonde Oct 2002 B1
6492068 Suzuki Dec 2002 B1
6499425 Sandhu et al. Dec 2002 B1
6573199 Sandhu et al. Jun 2003 B2
6613702 Sandhu et al. Sep 2003 B2
6661005 Bruenger Dec 2003 B1
6683005 Sandhu et al. Jan 2004 B2
6720272 Sandhu et al. Apr 2004 B2
6730367 Sandhu May 2004 B2
6753538 Musil et al. Jun 2004 B2
6764856 Holmes et al. Jul 2004 B2
6787783 Marchman et al. Sep 2004 B2
6793736 Sandhu et al. Sep 2004 B2
6797337 Dando et al. Sep 2004 B2
6809317 Vandervorst Oct 2004 B2
6811615 Sun Nov 2004 B2
6838114 Carpenter et al. Jan 2005 B2
6838121 Weimer Jan 2005 B2
6845734 Carpenter et al. Jan 2005 B2
6869479 Shafeev et al. Mar 2005 B2
6897907 Morimitsu May 2005 B2
6911832 Kolachina et al. Jun 2005 B2
6927173 Mori et al. Aug 2005 B2
7012266 Jin Mar 2006 B2
7113276 Higgs et al. Sep 2006 B1
7122125 Deshmukh et al. Oct 2006 B2
7238294 Koops et al. Jul 2007 B2
7256405 Nakasuji et al. Aug 2007 B2
7262555 Rueger et al. Aug 2007 B2
7303690 Amemiya et al. Dec 2007 B2
7311947 Dando et al. Dec 2007 B2
7365909 Yun et al. Apr 2008 B2
7452477 Koops et al. Nov 2008 B2
7569484 Rueger et al. Aug 2009 B2
7662648 Sandhu Feb 2010 B2
7718080 Rueger et al. May 2010 B2
7791055 Williamson et al. Sep 2010 B2
7791071 Rueger et al. Sep 2010 B2
7807062 Williamson et al. Oct 2010 B2
7833427 Rueger et al. Nov 2010 B2
7892978 Williamson et al. Feb 2011 B2
8026501 Williamson et al. Sep 2011 B2
8389415 Rueger et al. Mar 2013 B2
20020173124 Joo Nov 2002 A1
20020182542 Choi et al. Dec 2002 A1
20030047691 Musil et al. Mar 2003 A1
20030170389 Sandhu Sep 2003 A1
20030201391 Shinada et al. Oct 2003 A1
20040036398 Jin Feb 2004 A1
20040043621 Nasser-Ghodsi Mar 2004 A1
20040048398 Liang et al. Mar 2004 A1
20040074867 Han et al. Apr 2004 A1
20040091638 Haight et al. May 2004 A1
20040097076 Iyer et al. May 2004 A1
20040113097 Marchman et al. Jun 2004 A1
20040124348 Utz et al. Jul 2004 A1
20040140437 Bukofsky et al. Jul 2004 A1
20040151991 Stewart et al. Aug 2004 A1
20040169963 Okuno et al. Sep 2004 A1
20050072753 Koops et al. Apr 2005 A1
20050078462 Dando et al. Apr 2005 A1
20050087514 Koops et al. Apr 2005 A1
20050139291 Taira et al. Jun 2005 A1
20050212092 Nishizawa Sep 2005 A1
20050253093 Gorski et al. Nov 2005 A1
20050266168 Poullos Dec 2005 A1
20060094132 Liu et al. May 2006 A1
20060134920 Liang Jun 2006 A1
20060147814 Liang Jul 2006 A1
20060154477 Geng et al. Jul 2006 A1
20060183055 O'Neill et al. Aug 2006 A1
20060201911 Edelberg et al. Sep 2006 A1
20060228634 Bret et al. Oct 2006 A1
20060255260 Ludviksson Nov 2006 A1
20060276056 Ward et al. Dec 2006 A1
20060288937 Dando et al. Dec 2006 A1
20060289969 Dando et al. Dec 2006 A1
20070015371 Olynick et al. Jan 2007 A1
20070158303 Nasser-Ghodsi et al. Jul 2007 A1
20070158304 Nasser-Ghodsi et al. Jul 2007 A1
20070228002 Geng et al. Oct 2007 A1
20070228296 Mouttet Oct 2007 A1
20070257212 Mouttet Nov 2007 A1
20070264831 Yu et al. Nov 2007 A1
20070278180 Williamson et al. Dec 2007 A1
20080006603 Williamson et al. Jan 2008 A1
20080006786 Williamson et al. Jan 2008 A1
20080009140 Williamson et al. Jan 2008 A1
20080038863 Rueger et al. Feb 2008 A1
20080038894 Rueger et al. Feb 2008 A1
20080038928 Rueger et al. Feb 2008 A1
20080038933 Rueger et al. Feb 2008 A1
20090288603 Rueger et al. Nov 2009 A1
20100221922 Rueger et al. Sep 2010 A1
20100314354 Rueger et al. Dec 2010 A1
20100320384 Williamson et al. Dec 2010 A1
20110017401 Williamson et al. Jan 2011 A1
20110056625 Rueger et al. Mar 2011 A1
20110139368 Williamson et al. Jun 2011 A1
Foreign Referenced Citations (13)
Number Date Country
0756318 Jan 1997 EP
1363164 Nov 2003 EP
09064030 Mar 1997 JP
2004257845 Sep 2004 JP
359455 Mar 2012 TW
WO-2008008156 Jan 2008 WO
WO-2008008156 Jan 2008 WO
WO-2008008157 Jan 2008 WO
WO-2008008157 Jan 2008 WO
WO-2008008159 Jan 2008 WO
WO-2008008159 Jan 2008 WO
WO-2008021363 Feb 2008 WO
WO-2008021363 Feb 2008 WO
Non-Patent Literature Citations (30)
Entry
Taiwan Application Serial No. 096125116,Notice of Allowance mailed Jul. 7, 2011.
Taiwanese Application Serial No. 096124493, Office Action mailed Jan. 14, 2011, 14 pgs.
Taiwanese Application Serial No. 096124493, Response filed Apr. 18, 2011 to Office Action mailed Jan. 14, 2011, 7 pgs.
Taiwanese Application Serial No. 096124493, Response filed Apr. 2011 to Office Action mailed Jan. 14, 2001, 8 pgs.
Taiwanese Application Serial No. 096125112, Office Action mailed Oct. 11, 2012, w/ EN Translation, 10 pgs.
Taiwanese Application Serial No. 096125112, Office Action mailed Nov. 10, 2011, 12 pgs.
Taiwanese Application Serial No. 096125112, Office Action Response filed Feb. 14, 2012, With English Translation, 11 pgs.
Taiwanese Application Serial No. 096125112, Response filed Jan. 14, 2013 to Office Action mailed Oct. 11, 2012, 25 pgs.
Taiwanese Application Serial No. 096125116, Office Action mailed Feb. 15, 2011, 12 pgs.
Taiwanese Application Serial No. 096130057, Office Action mailed Nov. 29, 2010, 9 pgs.
Taiwanese Application Serial No. 096130057, Office Action Response filed Nov. 3, 2011, 7 pgs.
Taiwanese Application Serial No. 096130057, Rejection Action mailed Aug. 31, 2011, 5 pgs.
Taiwanese Application Serial No. 096130057, Response filed May 27, 2011 to Office Action mailed Nov. 29, 2010, 8 pgs.
Abramo, M., et al., “Gas Assisted Etching: An Advanced Technique for Focused Ion Beam Device Modification”, Proceedings of the International Symposium for Testing Failure Analysis, (Nov. 13, 1994), 439-446 pgs.
Abramo, M. T, et al., “The application of advanced techniques for complex focused-ion-beam device modification”, Reliability of electron devices, failure physics and analysis, 1996. Proceedings of the 7th europeon symposium on Oct. 8-11, 1996, Piscataway, NJ, USA, IEEE, (Oct. 8, 1996), 1775-1778 pgs.
Alers, G., et al., “Interlevel Dielectric Failure in Copper/Low-K Structures”, IEEE, Transactions on Devices and Material Reliability 36, (2004), 148-152.
Fujii, Toshiaki, et al., “A nanofactory by focused ion beam”, Journal of Micromechanics and Microengineering, 15(10), (2005), 286-S291.
Fujioka, H., et al., “Measurements of the Energy Dependence of Electron Beam Assisted Etching of, and Deposition on, Silica”, Journal of Physics D. Applied Physics, IOP Publishing, Bristol, GB, vol. 23, No. 2, (Feb. 14, 1990), 266-268 pgs.
Golub, M., “Scanning electron microscope investigations of highly conducting organic composites”, Journal of Material Sciences 36, (2001), 5543-5550.
Jonge, N., et al., “High brightness electron beam from a multi-walled carbon nanotube”, Nature vol. 420, (Nov. 28, 2002), 393-395.
Liao, J. Y, et al., “Etch characterization of packaged IC samples in an RIE with endpoint detection by ICP source for failure analysis applications”, Physical and failure analysis of integrated circuits, 2005, IPFA 2005. Proceedings of the 12th International Symposium on the Shangri-LA's RASA Sentosa Resort, Singapore Jun. 27-Jul. 1, 2005 Piscataway, NJ, USA, IEEE, (Jun. 27, 2005), 123-126 pgs.
Marcoux, P. J, et al., “Methods of end point detection for plasma etching”, Solid State Technology, Pennwell Corporation, Tulsa, OK, US, vol. 25, (Apr. 1981), 115-122 pgs.
Numajiri, T., et al., “Sample Preparation for electron beam testing with reactive ion etching”, Physical and Failure Analysis of Integrated circuits,1997, Proceedings of the 1997 6th International Symposium on Singapore Jul. 21-25, 1997, New york, USA, (Jul. 21, 1997), 56-61 pgs.
Numajiri, T., et al., “Sample Preparation for electron beam testing with reactive ion etching”, Proceedings of the 1997 6th International Symposium on Physical & Failure Analysis of Integrated Circuits, 1997, IEEE, (1997), 56-61 pgs.
Randolph, S., et al., “Focused electron-beam-induced etching of silicon dioxide”, Journal of Applied Physics, American Institute of Physics, 98, (Aug. 3, 2005), 34902-34902.
Sanchez, E. J, et al., “Ion and electron beam assisted growth of nanometric sl m on structures for near-field microscopy”, Review of scientific instruments, AIP, Melville, NY, US, vol. 73, No. 11, (Nov. 2002), 3901-3907 pgs.
Teo, K., et al., “Fabrication and Electrical Characteristics of carbon nanotube-based microcathodes for use in a parallel elecron-beam lithography system”, Journal of Vacuum Science & Technology B: Microelectronics Processing and Phenomena, vol. 21, (Mar. 2003), 693-697.
Wang, J, et al., “Etching characteristics of chromium thin films by an electron beam induced surface reaction”, Semicond. Sci. Technol., 18, (2003), 199-205.
Wood, L, et al., “Plasma Cleaning of Chip Scale Packages for Improvement of Wire Bond Strength”, International Symp on Electronic Materials and Packaging, (2000), 406-408.
Wood, L., “Plasma Cleaning of Chip Scale Packages for Improvement of Wire Bond Strength”, IEEE, International Symposium on Electronic Materials and Packaging, (2000), 406-408.
Related Publications (1)
Number Date Country
20130180950 A1 Jul 2013 US
Divisions (1)
Number Date Country
Parent 11503680 Aug 2006 US
Child 12861543 US
Continuations (1)
Number Date Country
Parent 12861543 Aug 2010 US
Child 13784468 US