Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process

Information

  • Patent Grant
  • 7064070
  • Patent Number
    7,064,070
  • Date Filed
    Monday, March 24, 2003
    21 years ago
  • Date Issued
    Tuesday, June 20, 2006
    18 years ago
Abstract
A method of post chemical mechanical polishing (CMP) cleaning to remove a CMP residue from a surface of an object is disclosed. The object is placed within a pressure chamber. The pressure chamber is pressurized. A supercritical carbon dioxide process is performed to remove a residual CMP residue from the surface of the object. The pressure chamber is vented.
Description
FIELD OF THE INVENTION

The present invention relates to the field of removing chemical mechanical polishing (CMP) residue and contaminants such as trace metals from semiconductor wafers. More particularly, the present invention relates to the field of removing CMP residue and contaminants such as trace metals from semiconductor wafers using supercritical carbon dioxide.


BACKGROUND OF THE INVENTION

Chemical mechanical polishing (CMP) is an established semiconductor manufacturing process step. CMP has been widely employed in the manufacture of semiconductor devices to eliminate topographic variations and accomplish global planarization of wafer surfaces. Particulate surface contamination of semiconductor wafers after the CMP process is a serious problem that affects yield in the industry. Micrometer and sub-micrometer particle removal from the device feature surfaces is related to high productivity, low cost-of-ownership manufacturing. For more than 30 years the semiconductor industry has upheld Moore's Law, i.e., the theory that computational power will double every 18 months, largely because decreases in device feature sizes have produced increases in the operational speed of logic devices and corresponding reductions in production costs—and sustained the historical rate of cost reduction of new semiconductor products. Continued reduction in feature sizes has driven more stringent performance requirements for every step in the manufacture of integrated circuits, including the post-CMP cleaning step. Post-CMP cleaning is a process to minimize particles and other contaminants that come from various sources in the CMP process. In the semiconductor industry, during the early development of the CMP and cleaning processes, the two processes were evaluated independently of one another. Under the current paradigm, the CMP and post-CMP cleaning processes are interrelated and the performance of a post-CMP cleaning process is related to high productivity and low production costs.


When device feature sizes shrink to less than 0.18 μm, the complexity of interconnect structures becomes a significant factor affecting integrated circuit design and performance. As semiconductor device feature sizes decrease with advancing technologies, there is a need for effective post-CMP cleaning methods to remove CMP residue and reduce particulate contamination to acceptable levels, e.g., <1013 atoms/cm2.


In recent years, as the semiconductor industry approached the 180-nm technology node (Ref.: International Technology Roadmap for Semiconductors (ITRS) 1999, laying out a 15-year outlook for the industry), the performance limitations of aluminum and silicon interconnects presented challenges to industry efforts to sustain Moore's Law. In general, interconnection delays have been found to increase with the square of the reduction in feature size. As device geometries shrink, more emphasis must be placed on the interconnect structures to minimize resistance-capacitance time delays. One approach to minimizing interconnection delays has been to add more layers of metal. Adding more layers of metal, however, has the disadvantages of increasing production costs and generating additional heat, with adverse effect on device performance and reliability.


Strategies to minimize interconnect delays include improving conductivity and lowering the dielectric constant (k) value by employing low-k films. For example, copper (Cu) has emerged as a replacement for conventional aluminum (Al) as the interconnect metal in advanced devices. Copper has greater conductivity than aluminum (thus reducing resistance-capacitance time delays) and also is less subject to electromigration when compared to conventional Al metallization. The material properties of Cu present challenges to the CMP and post-CMP cleaning processes. One challenge in Cu CMP is minimizing the formation of micro-scratches (a common problem in CMP processes), which can degrade device performance. Copper is softer than other materials such as tungsten and thus scratches more easily. Other challenges include the problems of dishing, erosion, and thinning of Cu lines beyond the target thickness that can generate increased line resistance and resistance-capacitance time delays—all of which are due to the relative softness of Cu metal. There is a need for post-CMP cleaning methods to remove residual slurry particles (CMP slurry is a mix of liquids and abrasive powder) with complex compositions, pieces of polishing pad used in CMP, and copper contamination such as trace levels of Cu metal ions.


The adhesion of slurry particles and Cu metal ions to wafers is a problem in post-CMP cleaning processes. Adhesion forces, which can be a combination of bonding forces such as long range van der Waals forces, chemical or hydrogen bonds, and electrostatic forces, are responsible for the adhesion of particles on the surface of the wafer. There is a need for post-CMP cleaning methods that are capable of overcoming these forces.


Additionally, tendency of Cu to diffuse into underlying substrates such as silicon makes the use of diffusion barrier materials, such as tantalum (Ta)- and tungsten (W)-based diffusion barrier materials, a necessity. Effective CMP of Cu structures requires removal of both the Cu and barrier layers. Barrier materials are typically more difficult than Cu to planarize using conventional slurries (conventional slurries contain a single type of abrasive), which necessitates tailoring slurries to the characteristics of Cu and the Ta- and W-based barrier materials. After the CMP process, a large quantity of slurry particles, along with pieces of polishing pad, metal contaminants, and diffusion barrier material remaining on the device's surface must be removed. There is a need for effective post-CMP cleaning methods that are independent of the film materials.


The emergence of dual-damascene processing (techniques for the simultaneous formation of a conductive plug in electrical contact with a conductive line) includes developing processes that are highly flexible, including those for CMP and post-CMP cleaning. Dual-damascene processing of copper for the patterning of Cu metal into interconnect structures that also include low-k dielectric materials presents still additional challenges, because of the lower density, inferior mechanical properties, and typically increased organic content of low-k materials.


Post-CMP cleaning has become a challenging cleaning application in semiconductor manufacturing. Effective post-CMP cleaning processes are needed to ensure that the challenges of replacing Al metallization with Cu dual-damascene structures, integrating Cu and low-k materials, along with decreasing device feature sizes, and the transition to 300-mm size wafers, are met. There is a need for effective post-CMP cleaning processes to achieve improved device performance with higher productivity and reduced production costs.


The current post-CMP cleaning practices include non-contact cleaning using megasonic baths and contact cleaning using brush scrubbers. The brush (contact) cleaning methods are based on a direct contact between a brush and the wafer surface. Brush cleaning requires that the wafer surface be mechanically washed or brushed by a commercially available equipment called a scrubber. The scrubber may employ heat or ultrasonic augmentation and typically requires immersion times of two to twenty minutes to achieve complete removal of the CMP residue from the wafer surface. While high brush pressure is desirable for the purposes of increasing the contact between the particle and the brush to a point where the adhesion forces can be overcome, high pressure above a certain point can contribute to scratching of the wafer surface by the removed particle. In practice, brush cleaning effectiveness depends on the brush pressure and speed, rate of flow of cleaning solution, and cleaning time. Megasonic (non-contact) cleaning uses high-frequency acoustic pressure waves to remove particles from the wafer surface. Megasonic cleaning effectiveness depends on the megasonic intensity, solution temperature, chemistry, and cleaning time.


It is well known that particulate surface contamination of semiconductor wafers after the CMP process degrades device performance and affects yield in the industry. Additionally, it is well known that the cost of manufacturing a semiconductor is proportional to the time employed for each processing step. It would be advantageous to be able to remove the CMP residue and contaminants without using the mechanical washing or brushing employed by the scrubber in order to reduce an amount of the defects and the scratches. Further, it would be advantageous to more effectively remove the CMP residue and contaminants from the surface features on the wafer surface.


What is needed is an effective post-CMP cleaning method to remove the CMP process residue and contaminants that does not use the mechanical washing, brushing or megasonic baths.


What is further needed is a method of removing the CMP residue and contaminants from the surface features that is more effective than the current post-CMP cleaning methods including mechanical washing, brushing, or megasonic cleaning.


What is additionally needed is a post-CMP cleaning method to achieve improved device performance with higher productivity and reduced production costs.


SUMMARY OF THE INVENTION

One embodiment of the present invention is for a method of removing CMP residue from a surface of a semiconductor substrate. The semiconductor substrate, including the CMP residue on the surface, is placed within a pressure chamber. The pressure chamber is then pressurized. Supercritical carbon dioxide and a solvent are introduced into the pressure chamber. The supercritical carbon dioxide and the solvent are maintained in contact with the semiconductor substrate until the CMP residue is removed from the semiconductor substrate. The pressure chamber is then flushed and vented.


A second embodiment of the invention is for a method of post chemical mechanical polishing (CMP) cleaning to remove a CMP residue from a surface of an object. The object is placed the within a pressure chamber. The pressure chamber is pressurized. A supercritical carbon dioxide process is performed to remove a residual CMP residue from the surface of the object. The pressure chamber is vented.


A third embodiment of the invention is for a method of post-CMP cleaning to remove a CMP residue from a surface of an object. The object is placed the within a pressure chamber. The pressure chamber is pressurized. A liquid carbon dioxide process is performed to remove a residual CMP residue from the surface of the object. The pressure chamber is vented.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is a flow chart illustrating the steps of a method of the present invention.



FIG. 2 is a flow chart showing a process flow for a method of post chemical mechanical polishing (CMP) cleaning to remove a CMP residue from a surface of an object in accordance with the present invention.



FIG. 3 is a flow chart showing a process flow for a method of post-CMP cleaning to remove a CMP residue from a surface of an object in accordance with the present invention.





DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT

The present invention utilizes high solvency and cleaning characteristics of supercritical carbon dioxide to assist in a post-CMP cleaning process. In the preferred embodiment, a small amount of a chemical, i.e., a solvent or a solvent mixture, is added to affect the post-CMP cleaning process as compared to the prior art. In the present invention, the supercritical carbon dioxide carries a small amount of the chemical to a wafer surface to be cleaned and is then recycled back for reuse.


The chemical is soluble or insoluble in carbon dioxide and is not damaging to semiconductor device materials. The high solvency and solubilizing ability of the supercritical carbon dioxide makes this method fast, safe, and very quick. High turbulence at wafer surface features in conjunction with hyper-efficient mass transport of a chemical co-solvent package can clean the wafer surface. CMP residue of CMP chemicals and abrasive particles is effectively removed without direct mechanical contact, in contrast to current methods. Another advantage of the present invention is that the wafer surface contains fewer defects as compared to mechanical scrubber methods.


The solvency of supercritical carbon dioxide increases with pressure. Diffusivity and viscosity at or above a critical point of carbon dioxide remains similar to that of a gas phase. Because density above the critical point of the carbon dioxide is nearly equal to that of a liquid state, the supercritical carbon dioxide carries the chemical onto the wafer surface and cleans sub-micron surface features of a modern semiconductor device. In the present invention, the supercritical carbon dioxide also functions to carry away the CMP residue, including the CMP chemicals and abrasive particles, from the sub-micron surface features of the modern semiconductor device. Thus, a small amount of the chemical mixed with the supercritical carbon dioxide performs the post-CMP cleaning process and also cleans away any remaining unwanted chemicals and the CMP residue.


One embodiment of the post-CMP cleaning process of the present invention is illustrated in FIG. 1. The semiconductor wafer including the CMP residue is placed in a pressure chamber in a first process step 20. The pressure chamber is then sealed and pressurized with the carbon dioxide, in a second process step 22. As the pressure inside the pressure chamber builds, the carbon dioxide reaches supercritical temperature and pressure. Typical conditions for this process range from 20° C. to 150° C. and 1050 and 6000 psig. When the desired conditions are reached, a small amount of the chemical is introduced into a supercritical carbon dioxide stream and thus added into the pressure chamber to begin cleaning, in a third process step 24. Typical types and amounts of chemicals are:

  • a. 0.01–15.0 v/v % of isopropyl alcohol and related alcohols;
  • b. 0.01–15.0 v/v % of propylene carbonate and related carbonates;
  • c. 0.01–15.0 v/v % of ethylene glycol and related glycols;
  • d. 0.001–5.0 v/v % of ozone;
  • e. 0.01–15.0 v/v % of hydrogen fluoride and related fluorides;
  • f. 0.01–15.0 v/v % of ammonium hydroxide and related hydroxides;
  • g. 0.01–15.0 v/v % of citric acid and related acids;
  • h. 0.01–15.0 v/v % of anionic surfactants;
  • i. 0.01–15.0 v/v % of cationic surfactants;
  • j. 0.01–15.0 v/v % of nonionic surfactants; and
  • k. 0.01–15.0 v/v % of a mixture of any of the above chemicals.


The chemical is preferably selected from a preferred group including the isopropyl alcohol, the propylene carbonate, the ethylene glycol, the ozone, the hydrogen fluoride, the ammonium hydroxide, and the citric acid, or a mixture thereof.


The chemical is alternatively selected from an alternative group including the alcohols related to the isopropyl alcohol, the carbonates related to the propylene carbonate, the glycols related to the ethylene glycol, the fluorides related to the hydrogen fluoride, the hydroxides related to the ammonium hydroxide, and the acids related to the citric acid, or a mixture selected from these chemicals and the preferred group.


The post-CMP cleaning process continues with recirculation of the supercritical carbon dioxide and with mixing, i.e., agitating, thereof inside the pressure chamber until the CMP residue is removed, typically from one-half to fifteen minutes, in a fourth process step 26. The pressure chamber is then flushed with pure supercritical carbon dioxide or liquid carbon dioxide to remove all traces of any remaining chemicals, in a fifth process step 28. Finally, the chamber is vented to atmosphere and the wafer is removed, in a sixth process step 30. At this point, an optional rinse in DI (deionized) or ultra pure water may be performed to finish the cleaning process.


The present invention uses the supercritical carbon dioxide in combination with the small amount of a chemical admixture to remove the CMP residue from the surfaces of the semiconductor devices in a post-CMP cleaning system. The post-CMP cleaning system includes a wafer process chamber, a pump, a sensor system, a pressure and flow regulating system, and a recovery chamber. The wafer process chamber holds the semiconductor wafer or semiconductor wafers. The pump is capable of compressing liquid carbon dioxide beyond the critical point. The sensor system measures temperature, pressure and flows. The pressure and flow regulating system connects a carbon dioxide source to the wafer chamber at the desired conditions. The recovery chamber collects solid and liquid material exhausted from the wafer chamber.


The post-CMP cleaning system preferably includes a temperature control system for heating the wafer process chamber.


The post-CMP cleaning system preferably includes a chemical introduction system for adding precise amounts of the chemical into the supercritical carbon dioxide process stream.


The post-CMP cleaning process of the present invention includes the following steps. The wafer is placed in the wafer process chamber. The post-CMP cleaning system is preferably purged with inert gas or the carbon dioxide. Alternatively, the post-CMP cleaning system is not purged. Next, the post-CMP cleaning system is pressurized with the carbon dioxide to achieve supercritical conditions. A desired amount of the chemical is added into the carbon dioxide, which forms chemical laden supercritical carbon dioxide. The chemical-laden supercritical carbon dioxide is contacted with the wafer. The wafer process chamber is preferably flushed using the supercritical carbon dioxide to remove contaminants. Alternatively, the wafer process chamber is flushed using the liquid carbon dioxide. The post CMP cleaning system is then depressurized to allow removal of the wafer.


The post-CMP cleaning process results in the wafer surface having a defect level that is much lower than current cleaning methods, which utilize mechanical contact of the wafer with roller or brush equipment.


The post-CMP cleaning process removes the CMP residue from semiconductors, bare silicon wafers, metallic covered wafers, and memory storage devices. It will be readily apparent to one of ordinary skill in the art that the post-CMP cleaning process removes the CMP residue from other substrates, including other semiconductor substrates, that have been polished or planarized in the CMP process.


The present invention is directed to processes of removing CMP residue and contaminants such as trace metals from semiconductor wafers using supercritical carbon dioxide. The methods in accordance with the present invention utilize the low viscosity and high solvating and solubilizing properties of supercritical carbon dioxide to assist in the cleaning process.


For purposes of the invention, “carbon dioxide” should be understood to refer to carbon dioxide (CO2) employed as a fluid in a liquid, gaseous or supercritical (including near-supercritical) state. “Liquid carbon dioxide” refers to CO2 at vapor-liquid equilibrium conditions. If gaseous CO2 is used, the temperature employed is preferably below 30.5° C. “Supercritical carbon dioxide” refers herein to CO2 at conditions above the critical temperature (30.5° C.) and critical pressure (1070.4 psi). When CO2 is subjected to temperatures and pressures above 30.5° C. and 1070.4 psi, respectively, it is determined to be in the supercritical state. “Near-supercritical carbon dioxide” refers to CO2 within about 85% of absolute critical temperature and critical pressure.


“Cleaning fluid” refers herein to liquid or supercritical carbon dioxide that can, in a preferred embodiment, be provided as a composition. Liquid or supercritical CO2 compositions preferred for use in the methods of the present invention can include supercritical CO2 and a cleaning chemistry such as solvents, co-solvents and/or surfactants. Preferably, the cleaning chemistry enhances the properties of the supercritical CO2 to promote association of the amphiphilic species with the contaminant and to remove the contaminant in the cleaning fluid.


Various objects can be cleaned using the processes of the present invention such as semiconductor wafers, substrates and other flat media requiring low contamination levels. For the purposes of the invention, “cleaning” should be understood to be consistent with its conventional meaning in the art. As used herein, “substrate” includes a wide variety of structures. A substrate can be a single layer of material, such as a silicon wafer, or can include any number of layers. A substrate can comprise various materials, including metals, ceramics, glass, or compositions thereof.



FIG. 2 is a flow chart showing a process flow for a method of post-CMP cleaning to remove a CMP residue from a surface of an object in accordance with the present invention. In one embodiment of the invention, a rinse process is performed to remove at least a portion of the CMP residue from the surface of the object (100), such as a water rinse. The object is placed within a pressure chamber (110). The pressure chamber is then pressurized (115). Preferably, the pressure chamber is pressurized with gaseous, liquid, supercritical and/or near-supercritical CO2.


In a preferred embodiment of the present invention, a supercritical carbon dioxide process (120) is performed to remove a residual CMP residue from the surface of the object. In one embodiment of the invention, a liquid carbon dioxide process is performed to remove a residual CMP residue from the surface of the object. In a preferred embodiment, in the supercritical CO2 process (120), a cleaning fluid is introduced into the pressure chamber. In one embodiment, the cleaning fluid includes a cleaning chemistry. The pressure chamber is then pressurized with CO2. Preferably, the pressure chamber is pressurized above the CO2 critical pressure (1070.4 psi). In a preferred embodiment, the cleaning fluid is recirculated within the pressure chamber. Preferably, the cleaning fluid is recirculated within the pressure chamber for a period of time to remove a residual CMP residue from the surface of the object.


In one embodiment of the present invention, the CMP residue includes: CMP slurry particles such as silica and alumina, CMP slurry chemicals, CMP polishing pad materials, metal contaminants such as copper metal ions, diffusion barrier materials such as tantalum (Ta)- and tungsten (W)-based diffusion barrier materials (e.g., TaN, TaSiN, WN, WSiN), substrate materials such as SiO2, low-k dielectric materials, or compositions thereof.


In one embodiment of the invention, the CMP residue includes slurry particles and/or slurry chemicals, and the cleaning chemistry comprises benzotriazole (BTA).


In one embodiment of the invention, the CMP residue includes polishing pad materials. In this embodiment, the cleaning chemistry comprises acetone, methyl isobutyl ketone (MIK), dimethyl sulfoxide (DMSO), dimethylacetamide (DMAc), ozone, hydrogen peroxide, or ammonium persulfate.


In one embodiment, the CMP residue includes copper contaminants. In this embodiment of the invention, the cleaning chemistry comprises hexafluoroacetyl acetonate (HFAC), tetramethylheptane dionate (THD), cyclo octa diene (COD), ethylenediaminetetraacetatothulate (TmEDTA), nitrilotriacetate (NTA), pentamethyldiethylenetriamine (PMDETA), nitric acid, or acetylacetonate (ACAC).


In one embodiment, the CMP residue includes tantalum-based materials, and the cleaning chemistry comprises ferro cyanide or hexafluoroacetyl acetonate (HFAC).


In one embodiment, the CMP residue includes SiO2, F—SiO2, C—SiO2, LKD, hydrogen silsequioxane (HSQ), and/or methyl silsequioxane (MSQ). In this embodiment, the cleaning chemistry comprises HF, ammonium fluoride, or quad fluoride.


In one embodiment, the CMP residue includes FLARE™, SiLK™, organic polymers, and/or polymer-based low-k dielectric materials. In this embodiment of the invention, the cleaning chemistry comprises a surfactant.


In one embodiment, the CMP residue includes tungsten-based materials, and the cleaning chemistry comprises potassium ferro cyanide, hydrogen peroxide, or perchloic acid (PCA).


As shown in FIG. 2, in one embodiment of the present invention, the pressure chamber is vented (130). In one embodiment, after venting the pressure chamber (130), a washing process (135) including immersion baths, megasonic baths, mechanical rollers, mechanical brush scrubbers and/or double-sided scrubbers is carried out.



FIG. 3 is a flow chart showing a process flow for a method of post-CMP cleaning to remove a CMP residue from a surface of an object in accordance with the present invention. The object is placed within a pressure chamber (150). The pressure chamber is then pressurized (155). Preferably, the pressure chamber is pressurized with gaseous, liquid, supercritical and/or near-supercritical CO2. A supercritical carbon dioxide process (160) is performed to remove a residual CMP residue from the surface of the object. The pressure chamber is vented (170).


In one embodiment of the invention, after venting the pressure chamber (170), a rinse process (175) including immersion baths, megasonic baths, mechanical rollers, mechanical brush scrubbers and/or double-sided scrubbers is carried out.


In one embodiment, after the rinse process (175), a washing process (185) including immersion baths, megasonic baths, mechanical rollers, mechanical brush scrubbers and/or double-sided scrubbers is performed.


While the processes of this invention have been described in detail for the purpose of illustration, the inventive processes are not to be construed as limited thereby. It will be readily apparent to those of reasonable skill in the art that various modifications to the foregoing preferred embodiments can be made without departing from the spirit and scope of the invention as defined by the appended claims.

Claims
  • 1. A method of post chemical mechanical polishing (CMP) cleaning to remove a CMP residue from a surface of an object comprising the steps of: a. performing a rinse process to remove at least a portion of the CMP residue from the surface of the object;b. placing the object within a pressure chamber;c. pressurizing the pressure chamber;d. performing a supercritical carbon dioxide process to remove a residual CMP residue from the surface of the object, wherein performing a supercritical carbon dioxide process comprises: i. introducing a cleaning fluid;ii. pressurizing the pressure chamber with carbon dioxide such that the pressure chamber is at least at a supercritical pressure; andiii. recirculating the cleaning fluid within the pressure chamber;e. venting the pressure chamber; andf. performing a washing process including at least one of immersion baths, megasonic baths, mechanical rollers, mechanical brush scrubbers and double-sided scrubbers, after the step of venting the pressure chamber.
  • 2. The method of claim 1 wherein the object is a semiconductor wafer for forming integrated circuits.
  • 3. The method of claim 1 wherein the CMP residue comprises at least one of slurry particles, slurry chemicals, polishing pad materials, metal contaminants, diffusion barrier materials, substrate materials, and low-k dielectric materials.
  • 4. The method of claim 1 wherein the step of pressurizing the pressure chamber comprises pressurizing the pressure chamber with at least one of gaseous, liquid, supercritical and near-supercritical carbon dioxide.
  • 5. The method of claim 1 wherein recirculating the cleaning fluid within the pressure chamber comprises recirculating the cleaning fluid within the pressure chamber for a period of time to remove a residual CMP residue from the surface of the object.
  • 6. The method of claim 1 further comprising performing a rinse process, after the step of venting the pressure chamber.
  • 7. The method of claim 6 further comprising performing a washing process including at least one of immersion baths, megasonic baths, mechanical rollers, mechanical brush scrubbers and double-sided scrubbers, after performing the rinse process.
  • 8. The method of claim 1 wherein the cleaning fluid comprises a cleaning chemistry.
  • 9. The method of claim 8 wherein the cleaning chemistry comprises at least one of solvents, co-solvents, anionic, cationic and nonionic surfactants.
  • 10. The method of claim 8 wherein the residual CMP residue includes at least one of slurry particles and slurry chemicals, and wherein the cleaning chemistry comprises benzotriazole (BTA).
  • 11. The method of claim 8 wherein the residual CMP residue includes polishing pad materials, and wherein the cleaning chemistry comprises a material selected from the group consisting of acetone, methyl isobutyl ketone (MIX), dimethyl sulfoxide (DMSO), dimethylacetamide (DMAc), ozone, hydrogen peroxide, and ammonium persulfate.
  • 12. The method of claim 8 wherein the residual CMP residue includes copper contaminants, and wherein the cleaning chemistry comprises a material selected from the group consisting of hexafluoroacetyl acetonate (HFAC), tetramethylheptane dionate (THD), cyclo octa diene (COD), ethylenediaminetetraacetatothulate (TmEDTA), nitrilotriacetate (NTA), pentamethyldiethylenetriamine (PMDETA), nitric acid, and acetylacetonate (ACAC).
  • 13. The method of claim 8 wherein the residual CMP residue includes tantalum-based materials, and wherein the cleaning chemistry comprises a material selected from the group consisting of ferro cyanide and hexafluoroacetyl acetonate (HFAC).
  • 14. The method of claim 8 wherein the residual CMP residue includes at least one of SiO2 F—SiO2, C—SiO2, LKD, hydrogen silsequioxane (HSQ), and methyl silsequioxane (MSQ), and wherein the cleaning chemistry comprises a material selected from the group consisting of HF, ammonium fluoride, and quad fluoride.
  • 15. The method of claim 8 wherein the residual CMP residue includes at least one of organic polymers, and polymer-based low-k dielectric materials, and wherein the cleaning chemistry comprises a surfactant.
  • 16. The method of claim 8 wherein the residual CMP residue includes tungsten-based materials, and wherein the cleaning chemistry comprises a material selected from the group consisting of potassium ferro cyanide, hydrogen peroxide, and perchloic acid (PCA).
  • 17. A method of post chemical mechanical polishing (CMP) cleaning to remove a CMP residue from a surface of an object comprising the steps of: a. placing the object within a pressure chamber;b. pressurizing the pressure chamber;c. performing a liquid carbon dioxide process to remove a residual CMP residue from the surface of the object, wherein performing a liquid carbon dioxide process to remove a residual CMP residue from the surface of the object comprises: i. introducing a cleaning fluid;ii. pressurizing the pressure chamber with carbon dioxide; andiii. recirculating the cleaning fluid within the pressure chamber;d. venting the pressure chamber; ande. performing a rinse process, after the step of venting the pressure chamber.
  • 18. The method of claim 17 further comprising after a CMP operation and before the step of placing the object within the pressure chamber, performing a rinse process to remove at least a portion of the CMP residue from the surface of the object.
  • 19. The method of claim 18 further comprising performing a washing process including at least one of immersion baths, megasonic baths, mechanical rollers, mechanical brush scrubbers and double-sided scrubbers, after the step of venting the pressure chamber.
  • 20. The method of claim 17 further comprising performing a washing process including at least one of immersion baths, megasonic baths, mechanical rollers, mechanical brush scrubbers and double-sided scrubbers, after performing the rinse process.
RELATED APPLICATIONS

This application is a continuation in part of co-pending U.S. patent application Ser. No. 10/042,486, filed on Oct. 18, 2001 now U.S. Pat. No. 6,537,916, which is a continuation of 09/796,300 filed Feb. 27, 2001 now issued U.S. Pat. No. 6,331,487 B2, which is a continuation of 09/407,628 filed Sep. 28, 1999 now issued U.S. Pat. No. 6,277,753 B1, which claims priority from U.S. Provisional Application No. 60/101,988, filed on Sep. 28, 1998, all of which are incorporated by reference.

US Referenced Citations (234)
Number Name Date Kind
2439689 Hyde et al. Apr 1948 A
2617719 Stewart Nov 1952 A
2993449 Harland Jul 1961 A
3135211 Pezzillo Jun 1964 A
3642020 Payne Feb 1972 A
3890176 Bolon Jun 1975 A
3900551 Bardoncelli et al. Aug 1975 A
4219333 Harris Aug 1980 A
4341592 Shortes et al. Jul 1982 A
4349415 DeFilippi et al. Sep 1982 A
4475993 Blander et al. Oct 1984 A
4749440 Blackwood et al. Jun 1988 A
4838476 Rahn Jun 1989 A
4877530 Moses Oct 1989 A
4879004 Oesch et al. Nov 1989 A
4923828 Gluck et al. May 1990 A
4925790 Blanch et al. May 1990 A
4933404 Beckman et al. Jun 1990 A
4944837 Nishikawa et al. Jul 1990 A
5011542 Weil Apr 1991 A
5013366 Jackson et al. May 1991 A
5068040 Jackson Nov 1991 A
5071485 Matthews et al. Dec 1991 A
5091207 Tanaka Feb 1992 A
5105556 Kurokawa et al. Apr 1992 A
5158704 Fulton et al. Oct 1992 A
5174917 Monzyk Dec 1992 A
5185058 Cathey, Jr. Feb 1993 A
5185296 Morita et al. Feb 1993 A
5196134 Jackson Mar 1993 A
5201960 Starov Apr 1993 A
5213619 Jackson et al. May 1993 A
5215592 Jackson Jun 1993 A
5225173 Wai Jul 1993 A
5236602 Jackson Aug 1993 A
5237824 Pawliszyn Aug 1993 A
5238671 Matson et al. Aug 1993 A
5250078 Saus et al. Oct 1993 A
5261965 Moslehi Nov 1993 A
5266205 Fulton et al. Nov 1993 A
5269815 Schlenker et al. Dec 1993 A
5269850 Jackson Dec 1993 A
5274129 Natale et al. Dec 1993 A
5285352 Pastore et al. Feb 1994 A
5288333 Tanaka et al. Feb 1994 A
5290361 Hayashida et al. Mar 1994 A
5294261 McDermott et al. Mar 1994 A
5298032 Schlenker et al. Mar 1994 A
5304515 Morita et al. Apr 1994 A
5306350 Hoy et al. Apr 1994 A
5312882 DeSimone et al. May 1994 A
5314574 Takahashi May 1994 A
5316591 Chao et al. May 1994 A
5320742 Fletcher et al. Jun 1994 A
5328722 Ghanayem et al. Jul 1994 A
5334332 Lee Aug 1994 A
5334493 Fujita et al. Aug 1994 A
5352327 Witowski Oct 1994 A
5356538 Wai et al. Oct 1994 A
5364497 Chau et al. Nov 1994 A
5370740 Chao et al. Dec 1994 A
5370741 Bergman Dec 1994 A
5370742 Mitchell et al. Dec 1994 A
5397220 Akihisa et al. Mar 1995 A
5401322 Marshall Mar 1995 A
5403621 Jackson et al. Apr 1995 A
5403665 Alley et al. Apr 1995 A
5417768 Smith, Jr. et al. May 1995 A
5456759 Stanford, Jr. et al. Oct 1995 A
5470393 Fukazawa Nov 1995 A
5474812 Truckenmuller et al. Dec 1995 A
5482564 Douglas et al. Jan 1996 A
5486212 Mitchell et al. Jan 1996 A
5494526 Paranjpe Feb 1996 A
5500081 Bergman Mar 1996 A
5501761 Evans et al. Mar 1996 A
5514220 Wetmore et al. May 1996 A
5522938 O'Brien Jun 1996 A
5547774 Gimzewski et al. Aug 1996 A
5550211 DeCrosta et al. Aug 1996 A
5580846 Hayashida et al. Dec 1996 A
5589082 Lin et al. Dec 1996 A
5589105 DeSimone et al. Dec 1996 A
5629918 Ho et al. May 1997 A
5632847 Ohno et al. May 1997 A
5635463 Muraoka Jun 1997 A
5637151 Schulz Jun 1997 A
5641887 Beckman et al. Jun 1997 A
5656097 Olesen et al. Aug 1997 A
5665527 Allen et al. Sep 1997 A
5676705 Jureller et al. Oct 1997 A
5679169 Gonzales et al. Oct 1997 A
5679171 Saga et al. Oct 1997 A
5683473 Jureller et al. Nov 1997 A
5683977 Jureller et al. Nov 1997 A
5688879 DeSimone Nov 1997 A
5700379 Biebl Dec 1997 A
5714299 Combes et al. Feb 1998 A
5725987 Combes et al. Mar 1998 A
5726211 Hedrick et al. Mar 1998 A
5730874 Wai et al. Mar 1998 A
5736425 Smith et al. Apr 1998 A
5739223 DeSimone Apr 1998 A
5766367 Smith et al. Jun 1998 A
5783082 DeSimone et al. Jul 1998 A
5797719 James et al. Aug 1998 A
5798438 Sawan et al. Aug 1998 A
5804607 Hedrick et al. Sep 1998 A
5807607 Smith et al. Sep 1998 A
5847443 Cho et al. Dec 1998 A
5866005 DeSimone et al. Feb 1999 A
5868856 Douglas et al. Feb 1999 A
5868862 Douglas et al. Feb 1999 A
5872061 Lee et al. Feb 1999 A
5872257 Beckman et al. Feb 1999 A
5873948 Kim Feb 1999 A
5881577 Sauer et al. Mar 1999 A
5888050 Fitzgerald et al. Mar 1999 A
5893756 Berman et al. Apr 1999 A
5896870 Huynh et al. Apr 1999 A
5900354 Batchelder May 1999 A
5904737 Preston et al. May 1999 A
5908510 McCullough et al. Jun 1999 A
5928389 Jevtic Jul 1999 A
5932100 Yager et al. Aug 1999 A
5944996 DeSimone et al. Aug 1999 A
5955140 Smith et al. Sep 1999 A
5965025 Wai et al. Oct 1999 A
5976264 McCullough et al. Nov 1999 A
5980648 Adler Nov 1999 A
5992680 Smith Nov 1999 A
5994696 Tai et al. Nov 1999 A
6005226 Aschner et al. Dec 1999 A
6017820 Ting et al. Jan 2000 A
6021791 Dryer et al. Feb 2000 A
6024801 Wallace et al. Feb 2000 A
6037277 Masakara et al. Mar 2000 A
6063714 Smith et al. May 2000 A
6067728 Farmer et al. May 2000 A
6099619 Lansbarkis et al. Aug 2000 A
6100198 Grieger et al. Aug 2000 A
6110232 Chen et al. Aug 2000 A
6114044 Houston et al. Sep 2000 A
6128830 Bettcher et al. Oct 2000 A
6140252 Cho et al. Oct 2000 A
6149828 Vaartstra Nov 2000 A
6171645 Smith et al. Jan 2001 B1
6200943 Romack et al. Mar 2001 B1
6216364 Tanaka et al. Apr 2001 B1
6224774 DeSimone et al. May 2001 B1
6228563 Starov et al. May 2001 B1
6228826 DeYoung et al. May 2001 B1
6232238 Chang et al. May 2001 B1
6232417 Rhodes et al. May 2001 B1
6239038 Wen May 2001 B1
6242165 Vaartstra Jun 2001 B1
6251250 Keigler Jun 2001 B1
6255732 Yokoyama et al. Jul 2001 B1
6262510 Lungu Jul 2001 B1
6270531 DeYoung et al. Aug 2001 B1
6270948 Sato et al. Aug 2001 B1
6277753 Mullee et al. Aug 2001 B1
6284558 Sakamoto Sep 2001 B1
6286231 Bergman et al. Sep 2001 B1
6306564 Mullee Oct 2001 B1
6319858 Lee et al. Nov 2001 B1
6331487 Koch Dec 2001 B1
6333268 Starov et al. Dec 2001 B1
6344243 McClain et al. Feb 2002 B1
6358673 Namatsu Mar 2002 B1
6361696 Spiegelman et al. Mar 2002 B1
6367491 Marshall et al. Apr 2002 B1
6380105 Smith et al. Apr 2002 B1
6425956 Cotte et al. Jul 2002 B1
6436824 Chooi et al. Aug 2002 B1
6454945 Weigl et al. Sep 2002 B1
6458494 Song et al. Oct 2002 B1
6461967 Wu et al. Oct 2002 B1
6465403 Skee Oct 2002 B1
6485895 Choi et al. Nov 2002 B1
6486078 Rangarajan et al. Nov 2002 B1
6492090 Nishi et al. Dec 2002 B1
6500605 Mullee et al. Dec 2002 B1
6509141 Mullee Jan 2003 B1
6537916 Mullee et al. Mar 2003 B1
6558475 Jur et al. May 2003 B1
6562146 DeYoung et al. May 2003 B1
6596093 DeYoung et al. Jul 2003 B1
6635565 Wu et al. Oct 2003 B1
6641678 DeYoung et al. Nov 2003 B1
6764552 Joyce et al. Jul 2004 B1
6890853 Biberger et al. May 2005 B1
20010019857 Yokoyama et al. Sep 2001 A1
20010024247 Nakata Sep 2001 A1
20010041455 Yun et al. Nov 2001 A1
20010041458 Ikakura et al. Nov 2001 A1
20020001929 Biberger et al. Jan 2002 A1
20020055323 McClain et al. May 2002 A1
20020074289 Sateria et al. Jun 2002 A1
20020081533 Simons et al. Jun 2002 A1
20020088477 Cotte et al. Jul 2002 A1
20020098680 Goldstein et al. Jul 2002 A1
20020106867 Yang et al. Aug 2002 A1
20020112740 DeYoung et al. Aug 2002 A1
20020112746 DeYoung et al. Aug 2002 A1
20020115022 Messick et al. Aug 2002 A1
20020117391 Beam Aug 2002 A1
20020123229 Ono et al. Sep 2002 A1
20020127844 Grill et al. Sep 2002 A1
20020132192 Namatsu Sep 2002 A1
20020141925 Wong et al. Oct 2002 A1
20020142595 Chiou Oct 2002 A1
20020150522 Heim et al. Oct 2002 A1
20020164873 Masuda et al. Nov 2002 A1
20030003762 Cotte et al. Jan 2003 A1
20030008238 Goldfarb et al. Jan 2003 A1
20030008518 Chang et al. Jan 2003 A1
20030013311 Chang et al. Jan 2003 A1
20030036023 Moreau et al. Feb 2003 A1
20030047533 Reid et al. Mar 2003 A1
20030051741 DeSimone et al. Mar 2003 A1
20030106573 Masuda et al. Jun 2003 A1
20030125225 Xu et al. Jul 2003 A1
20030198895 Toma et al. Oct 2003 A1
20030205510 Jackson Nov 2003 A1
20030217764 Masuda et al. Nov 2003 A1
20040011386 Seghal Jan 2004 A1
20040020518 DeYoung et al. Feb 2004 A1
20040087457 Korzenski et al. May 2004 A1
20040103922 Inoue et al. Jun 2004 A1
20040112409 Schilling Jun 2004 A1
20040134515 Castrucci Jul 2004 A1
20040177867 Schilling Sep 2004 A1
20040259357 Saga Dec 2004 A1
Foreign Referenced Citations (1)
Number Date Country
WO 0133613 May 2001 WO
Related Publications (1)
Number Date Country
20040142564 A1 Jul 2004 US
Provisional Applications (1)
Number Date Country
60101988 Sep 1998 US
Continuations (2)
Number Date Country
Parent 09796300 Feb 2001 US
Child 10042486 US
Parent 09407628 Sep 1999 US
Child 09796300 US
Continuation in Parts (1)
Number Date Country
Parent 10042486 Oct 2001 US
Child 10396612 US