RESIST COMPOSITION AND PATTERN FORMING PROCESS

Information

  • Patent Application
  • 20240118610
  • Publication Number
    20240118610
  • Date Filed
    August 14, 2023
    8 months ago
  • Date Published
    April 11, 2024
    25 days ago
Abstract
A resist composition contains an acid generator which is a sulfonium or iodonium salt containing a sulfonic acid anion having a cyclic structure and a fluorosulfonic acid site which are linked by a linker. The resist composition has a high sensitivity and forms a pattern with improved LWR or CDU, independent of whether it is of positive or negative tone.
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2022-143561 filed in Japan on Sep. 9, 2022, the entire contents of which are hereby incorporated by reference.


TECHNICAL FIELD

This invention relates to a resist composition and a pattern forming process.


BACKGROUND ART

To meet the demand for higher integration density and operating speed of LSIs, the effort to reduce the pattern rule is in rapid progress. As the use of 5G high-speed communications and artificial intelligence (AI) is widely spreading, high-performance devices are needed for their processing. As the advanced miniaturization technology, manufacturing of microelectronic devices at the 5-nm node by the lithography using EUV of wavelength 13.5 nm is implemented in a mass scale, and manufacturing of 3-nm node devices is started in a mass scale. Studies are made on the application of EUV lithography to 2-nm node devices of the next generation. For the fabrication of 1.4-nm node devices of the next-but-one generation, it is expected to apply the EUV lithography using a lens having a high NA for improving resolution.


As the pattern feature size is reduced, the edge roughness (LWR) of line patterns and the critical dimension uniformity (CDU) of hole or dot patterns are regarded significant. It is pointed out that these factors are affected by the segregation or agglomeration of a base polymer and acid generator and the diffusion of generated acid. There is a tendency that values of LWR and CDU increase as the resist film becomes thinner. A film thickness reduction to comply with the progress of size reduction causes a degradation of LWR or CDU, which poses a serious problem.


The EUV resist material must meet high sensitivity, high resolution and low LWR at the same time. As the acid diffusion distance is reduced, LWR or CDU is improved, but sensitivity becomes lower. For example, as the PEB temperature is lowered, the outcome is an improved LWR or CDU, but a lower sensitivity. As the amount of quencher added is increased, the outcome is an improved LWR or CDU, but a lower sensitivity. It is necessary to overcome the tradeoff relation between sensitivity and LWR.


For the purpose of suppressing acid diffusion, Patent Documents 1 and 2 propose resist compositions comprising an acid generator capable of generating a sulfonic acid bound to a polymer backbone upon light exposure. The polymer-bound acid generator is characterized by extremely short acid diffusion, which leads to an improvement in LWR.


Patent Document 3 discloses an acid generator capable of generating a fluorosulfonic acid having a ring structure. The ring structure is effective for controlling acid diffusion. The linker between the ring and the fluorosulfonic acid is an ether bond, ester bond, sulfonic ester bond, amide bond, carbonate bond or urethane bond, with the ether bond or ester bond being preferred. It is difficult in view of synthesis to introduce a urethane bond adjacent to the fluorinated hydrocarbon. When the linker is an ether bond or ester bond, it is difficult to fully suppress acid diffusion.


With the aim to suppress acid diffusion, Patent Documents 4 and 5 disclose an acid generator capable of generating a sulfonic acid of a giant bulky structure and a resist material comprising a sulfonium salt having a bulky structure cation. In these cases, the weight and volume per mole of the acid generator increase. The proportion of the acid generator relative to the polymer increases whereas the proportion of the polymer decreases. Thus, the effect of increasing the contrast due to a polarity switch lowers.


CITATION LIST





    • Patent Document 1: JP 4425776

    • Patent Document 2: JP 4893580

    • Patent Document 3: JP-A 2018-158892

    • Patent Document 4: JP-A 2022-001567

    • Patent Document 5: JP-A 2021-059530





SUMMARY OF THE INVENTION

It is desired to develop a resist composition exhibiting a higher sensitivity than prior art resist compositions and capable of reducing the LWR of line patterns or improving the CDU of hole patterns.


An object of the invention is to provide a resist composition which achieves a high sensitivity, minimal LWR and improved CDU independent of whether it is of positive or negative tone, and a pattern forming process using the resist composition.


The inventors have found that a resist composition having a high sensitivity, improved LWR or CDU, high contrast, high resolution and wide process margin is obtained using a sulfonium or iodonium salt having a sulfonic acid anion having a cyclic structure and a fluorosulfonic acid site, which are linked via a linking group selected from —N(R2)—C(═O)—O—, —N(R2)—C(═O)—S—, —N(R2)—C(═S)—O—, —N(R2)—C(═S)—S—, —N(R2)—C(═O)—N(H)—, and —N(R2)—C(═S)—N(H)—, wherein R2 is defined later.


In one aspect, the invention provides a resist composition comprising an acid generator having the formula (1) or (2).




embedded image


Herein m1 is an integer of 0 to 5,

    • the circle R is each independently a C6-C30 aryl group, C7-C15 aralkyl group or C5-C12 cyclic saturated hydrocarbyl group,
    • X1 is each independently —O—, —S— or —N(H)—,
    • X2 is each independently a C1-C18 hydrocarbylene group which may contain at least one moiety selected from ether bond, ester bond, sulfide bond, amide bond, carbonate bond, and carbonyl, and which may be substituted with at least one moiety selected from halogen, cyano and nitro,
    • X3 is each independently oxygen or sulfur,
    • Rf1 to Rf4 are each independently hydrogen, fluorine or trifluoromethyl, at least one of Rf1 to Rf1 being fluorine or trifluoromethyl, and Rf1 and Rf2, taken together, may form a carbonyl group,
    • R1 is each independently halogen, a C1-C4 alkyl group which may be substituted with halogen and/or hydroxy, a C2-C4 alkenyl group which may be substituted with halogen, a C1-C4 alkoxy group which may be substituted with halogen, a C1-C4 alkylthio group which may be substituted with halogen, a C2-C5 saturated hydrocarbyloxycarbonyl group which may be substituted with halogen, cyano group, nitro group, or —N(R1A)(R1B), R1A and R1B are each independently a C1-C4 saturated hydrocarbyl group, and when m1 is 2 or more, a plurality of R1 may bond together to form a ring with the carbon atoms on R to which they are attached,
    • R2 is each independently hydrogen or a C1-C6 aliphatic hydrocarbyl group which may contain at least one moiety selected from oxygen, sulfur, nitrogen and halogen, R2 and R may bond together to form a ring,
    • R3 to R7 are each independently halogen or a C1-C20 hydrocarbyl group which may contain a heteroatom, R3 and R4 may bond together to form a ring with the sulfur atom to which they are attached.


In a preferred embodiment, the circle R is phenyl, R1 is halogen, trifluoromethyl, trifluoromethoxy or trifluoromethylthio, and m1 is an integer of 1 to 3.


The resist composition may further comprise a base polymer.


In a preferred embodiment, the base polymer comprises repeat units having the formula (a1) or (a2).




embedded image


Herein RA is each independently hydrogen or methyl,

    • Y1 is a single bond, phenylene, naphthylene, or a C1-C12 linking group containing at least one moiety selected from ester bond, ether bond and lactone ring,
    • Y2 is a single bond or ester bond,
    • Y3 is a single bond, ether bond or ester bond,
    • R11 and R12 are each independently an acid labile group,
    • R13 is a C1-C4 saturated hydrocarbyl group, halogen, C2-C5 saturated hydrocarbylcarbonyl group, cyano group or C2-C5 saturated hydrocarbyloxycarbonyl group,
    • R14 is a single bond or a C1-C6 alkanediyl group which may contain an ether bond or ester bond, and
    • a is an integer of 0 to 4.


Typically, the resist composition is a chemically amplified positive resist composition.


In another preferred embodiment, the base polymer is free of an acid labile group.


Typically the resist composition is a chemically amplified negative resist composition.


The resist composition may further comprise an organic solvent, a quencher, and/or a surfactant.


In another aspect, the invention provides a pattern forming process comprising the steps of applying the resist composition defined herein onto a substrate to form a resist film thereon, exposing the resist film to high-energy radiation, and developing the exposed resist film in a developer.


The high-energy radiation is typically ArF excimer laser of wavelength 193 nm, KrF excimer laser of wavelength 248 nm, EB, or EUV of wavelength 3 to 15 nm.


Advantageous Effects of Invention

The sulfonium or iodonium salt having a sulfonic acid anion having a cyclic structure and a fluorosulfonic acid site, which are linked via a linking group selected from —N(R2)—C(═O)—O—, —N(R2)—C(═O)—S—, —N(R2)—C(═S)—O—, —N(R2)—C(═S)—S—, —N(R2)—C(═O)—N(H)—, and —N(R2)—C(═S)—N(H)—, is characterized in that acid diffusion is controlled by the hydrogen bond in the linking group and the cyclic structure represented by R. This prevents a lowering of resolution due to blur by acid diffusion for thereby improving LWR or CDU. In the other embodiment wherein the anion in the sulfonium or iodonium salt contains halogen, the resist composition has a higher acid generation efficiency due to more absorption of EUV radiation. This contributes to an improvement in contrast of a resist film. The acid generator exerts a LWR or CDU-improving effect, which may stand good either in positive and negative tone pattern formation by aqueous alkaline development or in negative tone pattern formation by organic solvent development. A resist composition having a high sensitivity and improved LWR or CDU is thus constructed.







DETAILED DESCRIPTION OF THE INVENTION

As used herein, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. The notation (Cn-Cm) means a group containing from n to m carbon atoms per group. As used herein, the terms “group” and “moiety” are interchangeable. In chemical formulae, the broken line designates a valence bond.


The abbreviations and acronyms have the following meaning.

    • EB: electron beam
    • EUV: extreme ultraviolet
    • Mw: weight average molecular weight
    • Mn: number average molecular weight
    • Mw/Mn: molecular weight distribution or dispersity
    • GPC: gel permeation chromatography
    • PEB: post-exposure bake
    • PAG: photoacid generator
    • LWR: line width roughness
    • CDU: critical dimension uniformity


Resist Composition


One embodiment of the invention is a resist composition comprising an acid generator. Specifically, the resist composition comprises as the acid generator a sulfonium or iodonium salt containing a sulfonic acid anion having a cyclic structure and a fluorosulfonic acid site, which are linked via a linking group selected from —N(R2)—C(═O)—O—, —N(R2)—C(═O)—S—, —N(R2)—C(═S)—O—, —N(R2)—C(═S)—S—, —N(R2)—C(═O)—N(H)—, and —N(R2)—C(═S)—N(H)—.


Acid Generator


The acid generator is a sulfonium salt having the formula (1) or an iodonium salt having the formula (2).




embedded image


In formulae (1) and (2), m1 is an integer of 0 to 5.


In formulae (1) and (2), the circle R is each independently a C6-C30 aryl group, C7-C15 aralkyl group or C5-C12 cyclic saturated hydrocarbyl group. Exemplary aryl groups include phenyl, naphthyl, phenanthryl, anthryl, pyrenyl, biphenylyl and fluorenyl. Exemplary aralkyl groups include benzyl, phenylethyl, phenylpropyl, naphthylmethyl, and naphthylethyl. Exemplary cyclic saturated hydrocarbyl groups include cyclopentyl, cyclohexyl, cycloheptyl, cyclooctyl, adamantyl and norbornyl.


In formulae (1) and (2), X1 is each independently —O—, —S— or —N(H)—.


In formulae (1) and (2), X2 is each independently a C1-C18 hydrocarbylene group which may contain at least one moiety selected from ether bond, ester bond, sulfide bond, amide bond, carbonate bond, and carbonyl, and which may be substituted with at least one moiety selected from halogen, cyano and nitro.


The C1-C18 hydrocarbylene group represented by X2 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C18 alkanediyl groups such as methanediyl, ethane-1,1-diyl, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, heptane-1,7-diyl, octane-1,8-diyl, nonane-1,9-diyl, decane-1,10-diyl, undecane-1,11-diyl, dodecane-1,12-diyl, tridecane-1,13-diyl, tetradecane-1,14-diyl; C3-C18 cyclic saturated hydrocarbylene groups such as cyclopentanediyl, methylcyclopentanediyl, dimethylcyclopentanediyl, trimethylcyclopentanediyl, tetramethylcyclopentanediyl, cyclohexanediyl, methylcyclohexanediyl, dimethylcyclohexanediyl, trimethylcyclohexanediyl, tetramethylcyclohexanediyl, norbornanediyl and adamantanediyl; C6-C18 arylene groups such as phenylene, methylphenylene, ethylphenylene, n-propylphenylene, isopropylphenylene, n-butylphenylene, isobutylphenylene, sec-butylphenylene, tert-butylphenylene, naphthylene, methylnaphthylene, ethylnaphthylene, n-propylnaphthylene, isopropylnaphthylene, n-butylnaphthylene, sec-butylnaphthylene, tert-butylnaphthylene, biphenyldiyl, methylbiphenyldiyl, dimethylbiphenyldiyl, stilbenediyl; diphenyl ether diyl, diphenyl sulfide diyl and benzophenonediyl, and combinations thereof.


More preferably the group represented by X2 has the formula (X2-1).




embedded image


Herein, ** designates a point of attachment to X1, and *** designates a point of attachment to the carbon atom to which Rf1 and Rf2 are attached.


In formula (X2-1), m2 is an integer of 0 to 4. Ra is halogen, a C1-C6 saturated hydrocarbyl group, C1-C6 saturated hydrocarbyloxy group, C2-C7 saturated hydrocarbyloxycarbonyl group, nitro, cyano, trifluoromethyl or trifluoromethoxy group. X2A is a single bond or a C1-C10 hydrocarbylene group which may contain at least one moiety selected from ether bond, ester bond, and sulfide bond. X2B is an ether bond or ester bond. The circle R′ is a (m2+2)-valent group derived from cyclopentane, cyclohexane, adamantane, benzene, naphthalene, or a C7-C14 benzene ring-containing compound. It is noted that the total number of carbon atoms in Ra, X2A, X2B and circle R′ is up to 18.


Preferred examples of the benzene ring-containing compound include biphenyl, stilbene, diphenyl ether, diphenyl sulfide and benzophenone.


In formulae (1) and (2), X3 is each independently oxygen or sulfur.


In formulae (1) and (2), Rf1 to Rf4 are each independently hydrogen, fluorine or trifluoromethyl, at least one of Rf1 to Rf4 being fluorine or trifluoromethyl. Also, Rf1 and R2, taken together, may form a carbonyl group.


In formulae (1) and (2), R1 is each independently halogen, a C1-C4 alkyl group which may be substituted with halogen and/or hydroxy, a C2-C4 alkenyl group which may be substituted with halogen, a C1-C4 alkoxy group which may be substituted with halogen, a C1-C4 alkylthio group which may be substituted with halogen, a C2-C8 saturated hydrocarbyloxycarbonyl group which may be substituted with halogen, cyano group, nitro group, or —N(R1A)(R1B). R1A and R1B are each independently a C1-C4 saturated hydrocarbyl group. When m1 is 2 or more, a plurality of R1 may bond together to form a ring with the carbon atoms on R to which they are attached.


In formulae (1) and (2), R2 is each independently hydrogen or a C1-C6 aliphatic hydrocarbyl group which may contain at least one moiety selected from oxygen, sulfur, nitrogen and halogen. Also, R2 and R may bond together to form a ring. Exemplary aliphatic hydrocarbyl groups include C1-C6 alkyl groups, C3-C6 cyclic saturated hydrocarbyl groups, C2-C6 alkenyl groups, C2-C6 alkynyl groups, C3-C6 cyclic unsaturated hydrocarbyl groups, and C2-C6 groups obtained by combining the foregoing.


Preferably, the circle R is phenyl, R1 is halogen, trifluoromethyl, trifluoromethoxy or trifluoromethylthio, R2 is hydrogen, and m1 is an integer of 1 to 3.


Examples of the anion in the sulfonium salt having formula (1) and the iodonium salt having formula (2) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In formulae (1) and (2), R3 to R7 are each independently halogen or a C1-C20 hydrocarbyl group which may contain a heteroatom.


Suitable halogen atoms represented by R3 to R7 include fluorine, chlorine, bromine and iodine.


The hydrocarbyl group represented by R3 to R7 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C20 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, undecyl, dodecyl, tridecyl, tetradecyl, pentadecyl, heptadecyl, octadecyl, nonadecyl and icosyl; C3-C20 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, and adamantyl; C2-C20 alkenyl groups such as vinyl, propenyl, butenyl, and hexenyl; C3-C20 cyclic unsaturated aliphatic hydrocarbyl groups such as cyclohexenyl and norbornenyl; C2-C20 alkynyl groups such as ethynyl, propynyl and butynyl; C6-C20 aryl groups such as phenyl, methylphenyl, ethylphenyl, n-propylphenyl, isopropylphenyl, n-butylphenyl, isobutylphenyl, sec-butylphenyl, tert-butylphenyl, naphthyl, methylnaphthyl, ethylnaphthyl, n-propylnaphthyl, isopropylnaphthyl, n-butylnaphthyl, isobutylnaphthyl, sec-butylnaphthyl, and tert-butylnaphthyl; C7-C20 aralkyl groups such as benzyl and phenethyl; and combinations thereof. In the hydrocarbyl groups, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, fluorine, chlorine, bromine, iodine, cyano moiety, nitro moiety, carbonyl moiety, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.


Also, R3 and R4 may bond together to form a ring with the sulfur atom to which they are attached. Preferred examples of the ring are shown by the following structures.




embedded image


Herein the broken line designates a point of attachment to R5.


Examples of the cation in the sulfonium salt having formula (1) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the cation in the iodonium salt having formula (2) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


The sulfonium salt having formula (1) or the iodonium salt having formula (2) can be synthesized by reacting a sulfonium or iodonium salt of sulfonic acid having a hydroxy or thiol group with an isocyanate or isothiocyanate compound. A catalyst may be used in the reaction although the reaction takes place in a catalyst-free system. Suitable catalysts include organic tin compounds such as dibutyltin dilaurate, bismuth salts, and zinc carboxylates such as zinc 2-ethylhexanoate and zinc acetate, but are not limited thereto.


If impurities such as water, amine compounds, alcohol compounds, and carboxy-containing compounds are present in the system for reaction with a (meth)acrylate having an isocyanate group, reactions with the impurities can also take place and the purity of the desired compound becomes low. For this reason, it is necessary to fully remove impurities prior to the reaction.


It is also possible to use a (meth)acrylate having a blocked isocyanate group. The blocked isocyanate group is converted to an isocyanate group as a result of the blocking group being deprotected by heating or with the aid of the catalyst. Examples of the blocked isocyanate group include isocyanate groups substituted with an alcohol, phenol, thioalcohol, imine, ketimine, amine, lactam, pyrazole, oxime, or β-diketone.


In the resist composition, the sulfonium salt having formula (1) or the iodonium salt having formula (2) is preferably present in an amount of 0.01 to 1,000 parts by weight, more preferably 0.05 to 500 parts by weight per 100 parts by weight of a base polymer to be described just below.


Base Polymer


Typically, the resist composition contains a base polymer. In the case of a positive tone resist composition, the base polymer comprises repeat units containing an acid labile group, preferably repeat units having the formula (a1) or repeat units having the formula (a2). These units are also referred to as repeat units (a1) and (a2), hereinafter.




embedded image


In formulae (a1) and (a2), RA is each independently hydrogen or methyl. Y1 is a single bond, phenylene or naphthylene group, or C1-C12 linking group containing at least one moiety selected from ester bond, ether bond and lactone ring. Y2 is a single bond or ester bond. Y3 is a single bond, ether bond or ester bond. R11 and R12 are each independently an acid labile group. R13 is a C1-C4 saturated hydrocarbyl group, halogen, C2-C5 saturated hydrocarbylcarbonyl group, cyano group, or C2-C5 saturated hydrocarbyloxycarbonyl group. R14 is a single bond or a C1-C6 alkanediyl group which may contain an ether bond or ester bond. The subscript “a” is an integer of 0 to 4.


Examples of the monomer from which repeat units (a1) are derived are shown below, but not limited thereto. RA and R11 are as defined above.




embedded image


Examples of the monomer from which repeat units (a2) are derived are shown below, but not limited thereto. RA and R12 are as defined above.




embedded image


The acid labile groups represented by R11 and R12 in formulae (a1) and (a2) may be selected from a variety of such groups, for example, those groups described in JP-A 2013-080033 (U.S. Pat. No. 8,574,817) and JP-A 2013-083821 (U.S. Pat. No. 8,846,303).


Typical of the acid labile group are groups having the following formulae (AL-1) to (AL-3).




embedded image


In formulae (AL-1) and (AL-2), RL1 and RL2 are each independently a C1-C40 hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Inter alia, C1-C40 saturated hydrocarbyl groups are preferred, and C1-C20 saturated hydrocarbyl groups are more preferred.


In formula (AL-1), b is an integer of 0 to 10, preferably 1 to 5.


In formula (AL-2), RL3 and RL4 are each independently hydrogen or a C1-C20 hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Inter alia, C1-C20 saturated hydrocarbyl groups are preferred. Any two of RL2, RL3 and RL4 may bond together to form a C3-C20 ring with the carbon atom or carbon and oxygen atoms to which they are attached. The ring preferably contains 4 to 16 carbon atoms and is typically alicyclic.


In formula (AL-3), RL5, RL6 and RL7 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Inter alia, C1-C20 saturated hydrocarbyl groups are preferred. Any two of RL5, RL6 and RL7 may bond together to form a C3-C20 ring with the carbon atom to which they are attached. The ring preferably contains 4 to 16 carbon atoms and is typically alicyclic.


The base polymer may further comprise repeat units (b) having a phenolic hydroxy group as an adhesive group. Examples of the monomer from which repeat units (b) are derived are given below, but not limited thereto. Herein RA is as defined above.




embedded image


The base polymer may further comprise repeat units (c) having another adhesive group selected from hydroxy group (other than the foregoing phenolic hydroxy), lactone ring, sultone ring, ether bond, ester bond, sulfonic ester bond, carbonyl group, sulfonyl group, cyano group, and carboxy group. Examples of the monomer from which repeat units (c) are derived are given below, but not limited thereto. Herein RA is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The base polymer may further comprise repeat units (d) derived from indene, benzothiophene, acenaphthylene, chromone, coumarin, norbornadiene, or derivatives thereof. Examples of the monomer from which repeat units (d) are derived are given below, but not limited thereto.




embedded image


The base polymer may further comprise repeat units (e) derived from styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, methyleneindane, vinylpyridine, vinylcarbazole, or derivatives thereof.


In a further embodiment, the base polymer further comprises repeat units (f) derived from an onium salt containing a polymerizable olefin. Specifically, the base polymer comprises repeat units of at least one type selected from repeat units having the formula (f1), repeat units having the formula (f2), and repeat units having the formula (f3). These units are simply referred to as repeat units (f1), (f2) and (f3), which may be used alone or in combination of two or more types.




embedded image


In formulae (f1) to (f3), RA is each independently hydrogen or methyl. Z1 is a single bond, a C1-C6 aliphatic hydrocarbylene group, phenylene group, naphthylene group, or C7-C18 group obtained by combining the foregoing, or —O—Z51—, —C(═O)—O—Z51— or —C(═O)—NH—Z51—, wherein Z51 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, naphthylene group, or C7-C18 group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety. Z2 is a single bond or ester bond. Z3 is a single bond, —Z31—C(═O)—O—, —Z31—O— or —Z31—O—C(═O)—, wherein Z31 is a C1-C12 aliphatic hydrocarbylene group, phenylene group, or C7-C18 group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond, iodine or bromine. Z4 is a methylene, 2,2,2-trifluoro-1,1-ethanediyl or carbonyl group. Z5 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, trifluoromethyl-substituted phenylene group, —O—Z51—, —C(═O)—O—Z51—, or —C(═O)—NH—Z51—, wherein Z51 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, fluorinated phenylene group, or trifluoromethyl-substituted phenylene group, which may contain a carbonyl moiety, ester bond, ether bond, hydroxy moiety or halogen.


In formulae (f1) to (f3), R21 to R28 are each independently halogen or a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for R3 to R7 in formulae (1) and (2). In these groups, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen and some —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, fluorine, chlorine, bromine, iodine, cyano moiety, nitro moiety, carbonyl moiety, ether bond, ester bond, sulfonate bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—), or haloalkyl moiety. A pair of R23 and R24, or R26 and R27 may bond together to form a ring with the sulfur atom to which they are attached. Examples of the ring are as exemplified above for the ring that R3 and R4 in formula (1), taken together, form with the sulfur atom to which they are attached.


In formula (f1), Mis a non-nucleophilic counter ion. Examples of the non-nucleophilic counter ion include halide ions such as chloride and bromide ions; fluoroalkylsulfonate ions such as triflate, 1,1,1-trifluoroethanesulfonate, and nonafluorobutanesulfonate; arylsulfonate ions such as tosylate, benzenesulfonate, 4-fluorobenzenesulfonate, and 1,2,3,4,5-pentafluorobenzenesulfonate; alkylsulfonate ions such as mesylate and butanesulfonate; imide ions such as bis(trifluoromethylsulfonyl)imide, bis(perfluoroethylsulfonyl)imide and bis(perfluorobutylsulfonyl)imide; methide ions such as tris(trifluoromethylsulfonyl)methide and tris(perfluoroethylsulfonyl)methide.


Also included are sulfonate ions having fluorine substituted at α-position as represented by the formula (f1-1) and sulfonate ions having fluorine substituted at α-position and trifluoromethyl at β-position as represented by the formula (f1-2).




embedded image


In formula (f1-1), R31 is hydrogen, or a C1-C20 hydrocarbyl group which may contain an ether bond, ester bond, carbonyl moiety, lactone ring, or fluorine atom.


In formula (f1-2), R32 is hydrogen, or a C1-C30 hydrocarbyl group or C2-C30 hydrocarbylcarbonyl group, which may contain an ether bond, ester bond, carbonyl moiety or lactone ring.


The hydrocarbyl group and hydrocarbyl moiety in the hydrocarbylcarbonyl group, represented by R31 and R32 may be saturated or unsaturated and straight, branched or cyclic. Suitable hydrocarbyl groups include alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, pentyl, neopentyl, hexyl, heptyl, 2-ethylhexyl, nonyl, undecyl, tridecyl, pentadecyl, heptadecyl, and icosyl; cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, 1-adamantyl, 2-adamantyl, 1-adamantylmethyl, norbornyl, norbornylmethyl, tricyclodecanyl, tetracyclododecanyl, tetracyclododecanylmethyl, dicyclohexylmethyl; alkenyl groups such as allyl; cyclic unsaturated hydrocarbyl groups such as 3-cyclohexenyl; aryl groups such as phenyl, 1-naphthyl, 2-naphthyl; aralkyl groups such as benzyl and diphenylmethyl; and combinations thereof.


In the hydrocarbyl and hydrocarbylcarbonyl groups, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, fluorine, chlorine, bromine, iodine, cyano moiety, carbonyl moiety, ether bond, ester bond, sulfonic acid ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety. Examples of the heteroatom-containing hydrocarbyl group include tetrahydrofuryl, methoxymethyl, ethoxymethyl, methylthiomethyl, acetamidomethyl, trifluoroethyl, (2-methoxyethoxy)methyl, acetoxymethyl, 2-carboxy-1-cyclohexyl, 2-oxopropyl, 4-oxo-1-adamantyl, and 3-oxocyclohexyl.


Examples of the cation in the monomer from which repeat unit (f1) is derived are shown below, but not limited thereto. RA is as defined above.




embedded image


embedded image


embedded image


embedded image


Examples of the cation in the monomer from which repeat unit (f2) or (f3) is derived are as exemplified above for the cation in the sulfonium salt having formula (1).


Examples of the cation in the monomer from which repeat unit (12) is derived shown below, but not limited thereto. RA is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the anion in the monomer from which repeat unit (f3) is derived are shown below, but not limited thereto. RA is as defined above.




embedded image


The attachment of an acid generator to the polymer main chain is effective in restraining acid diffusion, thereby preventing a reduction of resolution due to blur by acid diffusion. Also, LWR or CDU is improved since the acid generator is uniformly distributed.


The base polymer for formulating the positive resist composition comprises repeat units (a1) or (a2) having an acid labile group as essential component and additional repeat units (b), (c), (d), (e), and (f) as optional components. A fraction of units (a1), (a2), (b), (c), (d), (e), and (f) is: preferably 0≤a1<1.0, 0≤a2<1.0, 0<a1+a2<1.0, 0≤b≤0.9, 0≤c≤0.9, 0≤d≤0.8, 0≤e≤0.8, and 0≤f≤0.5; more preferably 0≤a1≤0.9, 0≤a2≤0.9, 0.1≤a1+a2≤0.9, 0≤b≤0.8, 0≤c≤0.8, 0≤d≤0.7, 0≤e≤0.7, and 0≤f≤0.4; and even more preferably 0≤a1≤0.8, 0≤a2≤0.8, 0.1≤a1+a2≤0.8, 0≤b≤0.75, 0≤c≤0.75, 0≤d≤0.6, 0≤e≤0.6, and 0≤f≤0.3. Notably, f=f1+f2+f3, meaning that unit (f) is at least one of units (f1) to (f3), and a1+a2+b+c+d+e+f=1.0.


For the base polymer for formulating the negative resist composition, an acid labile group is not necessarily essential. The base polymer comprises repeat units (b), and optionally repeat units (c), (d), (e), and/or (f). A fraction of these units is: preferably 0<b≤1.0, 0≤c≤0.9, 0≤d≤0.8, 0≤e≤0.8, and 0≤f≤0.5; more preferably 0.2≤b≤1.0, 0≤c≤0.8, 0≤d≤0.7, 0≤e≤0.7, and 0≤f≤0.4; and even more preferably 0.3 b≤1.0, 0≤c≤0.75, 0≤d 0.6, 0≤e≤0.6, and 0≤f≤0.3. Notably, f=f1+f2+f3, meaning that unit (f) is at least one of units (f1) to (f3), and b+c+d+e+f=1.0.


The base polymer may be synthesized by any desired methods, for example, by dissolving one or more monomers selected from the monomers corresponding to the foregoing repeat units in an organic solvent, adding a radical polymerization initiator thereto, and heating for polymerization. Examples of the organic solvent which can be used for polymerization include toluene, benzene, tetrahydrofuran (THF), diethyl ether, and dioxane. Examples of the polymerization initiator used herein include 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), benzoyl peroxide, and lauroyl peroxide. Preferably, the reaction temperature is 50 to 80° C. and the reaction time is 2 to 100 hours, more preferably 5 to 20 hours.


Where a monomer having a hydroxy group is copolymerized, the hydroxy group may be replaced by an acetal group susceptible to deprotection with acid, typically ethoxyethoxy, prior to polymerization, and the polymerization be followed by deprotection with weak acid and water. Alternatively, the hydroxy group may be replaced by an acetyl, formyl, pivaloyl or similar group prior to polymerization, and the polymerization be followed by alkaline hydrolysis.


When hydroxystyrene or hydroxyvinylnaphthalene is copolymerized, an alternative method is possible. Specifically, acetoxystyrene or acetoxyvinylnaphthalene is used instead of hydroxystyrene or hydroxyvinylnaphthalene, and after polymerization, the acetoxy group is deprotected by alkaline hydrolysis, for thereby converting the polymer product to hydroxystyrene or hydroxyvinylnaphthalene. For alkaline hydrolysis, a base such as aqueous ammonia or triethylamine may be used. Preferably the reaction temperature is −20° C. to 100° C., more preferably 0° C. to 60° C., and the reaction time is 0.2 to 100 hours, more preferably 0.5 to 20 hours.


The base polymer should preferably have a weight average molecular weight (Mw) in the range of 1,000 to 500,000, and more preferably 2,000 to 30,000, as measured by GPC versus polystyrene standards using tetrahydrofuran (THF) solvent. A Mw in the range ensures that the resist film is heat resistant and fully soluble in alkaline developer.


If a polymer has a wide molecular weight distribution or dispersity (Mw/Mn), which indicates the presence of lower and higher molecular weight polymer fractions, there is a possibility that foreign matter is left on the pattern or the pattern profile is degraded. The influences of Mw and Mw/Mn become stronger as the pattern rule becomes finer. Therefore, the base polymer should preferably have a narrow dispersity (Mw/Mn) of 1.0 to 2.0, especially 1.0 to 1.5, in order to provide a resist composition suitable for micropatterning to a small feature size.


The base polymer may be a blend of two or more polymers which differ in compositional ratio, Mw or Mw/Mn.


Organic Solvent


The resist composition may contain an organic solvent. The organic solvent used herein is not particularly limited as long as the foregoing and other components are soluble therein. Examples of the organic solvent are described in JP-A 2008-111103, paragraphs [0144]-[0145] (U.S. Pat. No. 7,537,880). Exemplary solvents include ketones such as cyclohexanone, cyclopentanone, methyl-2-n-pentyl ketone and 2-heptanone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, and diacetone alcohol (DAA); ethers such as propylene glycol monomethyl ether (PGME), ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono-tert-butyl ether acetate; and lactones such as γ-butyrolactone.


The organic solvent is preferably added in an amount of 100 to 10,000 parts, and more preferably 200 to 8,000 parts by weight per 100 parts by weight of the base polymer. The organic solvent may be used alone or in admixture.


Quencher


The resist composition may further contain a quencher. As used herein, the quencher refers to a compound capable of trapping the acid, which is generated by the acid generator in the resist composition upon light exposure, to prevent the acid from diffusing to the unexposed region.


The quencher is typically selected from conventional basic compounds. Conventional basic compounds include primary, secondary, and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds with carboxy group, nitrogen-containing compounds with sulfonyl group, nitrogen-containing compounds with hydroxy group, nitrogen-containing compounds with hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide derivatives, imide derivatives, and carbamate derivatives. Also included are primary, secondary, and tertiary amine compounds, specifically amine compounds having a hydroxy group, ether bond, ester bond, lactone ring, cyano group, or sulfonic ester bond as described in JP-A 2008-111103, paragraphs [0146]-[0164], and compounds having a carbamate group as described in JP 3790649. Addition of a basic compound may be effective for further suppressing the diffusion rate of acid in the resist film or correcting the pattern profile.


Onium salts such as sulfonium salts, iodonium salts and ammonium salts of sulfonic acids which are not fluorinated at α-position as described in U.S. Pat. No. 8,795,942 (JP-A 2008-158339) and similar onium salts of carboxylic acid may also be used as the quencher. While an α-fluorinated sulfonic acid, imide acid, and methide acid are necessary to deprotect the acid labile group of carboxylic acid ester, an α-non-fluorinated sulfonic acid and a carboxylic acid are released by salt exchange with an α-non-fluorinated onium salt. An α-non-fluorinated sulfonic acid and a carboxylic acid function as a quencher because they do not induce deprotection reaction.


Examples of the quencher include compounds having the formula (3), i.e., onium salts of α-non-fluorinated sulfonic acid and compounds having the formula (4), i.e., onium salts of carboxylic acid.




embedded image


In formula (3), R101 is hydrogen or a C1-C40 hydrocarbyl group which may contain a heteroatom, exclusive of the hydrocarbyl group in which the hydrogen bonded to the carbon atom at α-position of the sulfo group is substituted by fluorine or fluoroalkyl.


The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C40 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-pentyl, n-pentyl, n-hexyl, n-octyl, 2-ethylhexyl, n-nonyl, and n-decyl; C3-C40 cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo[5.2.1.02,6]decanyl, adamantyl, and adamantylmethyl; C2-C40 alkenyl groups such as vinyl, allyl, propenyl, butenyl, and hexenyl; C3-C40 cyclic unsaturated aliphatic hydrocarbyl groups such as cyclohexenyl; C6-C40 aryl groups such as phenyl, naphthyl, alkylphenyl groups, e.g., 2-methylphenyl, 3-methylphenyl, 4-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl, dialkylphenyl groups, e.g., 2,4-dimethylphenyl and 2,4,6-triisopropylphenyl, alkylnaphthyl groups, e.g., methylnaphthyl and ethylnaphthyl, dialkylnaphthyl groups, e.g., dimethylnaphthyl and diethylnaphthyl; C7-C40 aralkyl groups such as benzyl, 1-phenylethyl and 2-phenylethyl; and combinations thereof.


In the hydrocarbyl group, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, fluorine, chlorine, bromine, iodine, cyano moiety, carbonyl moiety, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—), or haloalkyl moiety. Examples of the heteroatom-containing hydrocarbyl group include heteroaryl groups such as thienyl; 4-hydroxyphenyl, alkoxyphenyl groups such as 4-methoxyphenyl, 3-methoxyphenyl, 2-methoxyphenyl, 4-ethoxyphenyl, 4-tert-butoxyphenyl, and 3-tert-butoxyphenyl; alkoxynaphthyl groups such as methoxynaphthyl, ethoxynaphthyl, n-propoxynaphthyl and n-butoxynaphthyl; dialkoxynaphthyl groups such as dimethoxynaphthyl and diethoxynaphthyl; and aryloxoalkyl groups, typically 2-aryl-2-oxoethyl groups such as 2-phenyl-2-oxoethyl, 2-(1-naphthyl)-2-oxoethyl, and 2-(2-naphthyl)-2-oxoethyl.


In formula (4), R102 is a C1-C40 hydrocarbyl group which may contain a heteroatom. Examples of the hydrocarbyl group R102 are as exemplified above for the hydrocarbyl group R101. Also included are fluorinated alkyl groups such as trifluoromethyl, trifluoroethyl, 2,2,2-trifluoro-1-methyl-1-hydroxyethyl, 2,2,2-trifluoro-1-trifluoromethyl-1-hydroxyethyl, and fluorinated aryl groups such as pentafluorophenyl and 4-trifluoromethylphenyl.


In formulae (3) and (4), Mq+ is an onium cation. The preferred onium cations are sulfonium, iodonium and ammonium cations, with the sulfonium and iodonium cations being more preferred. Examples of the sulfonium and iodonium cations are as exemplified above for the cations in the sulfonium and iodonium salts having formulae (1) and (2), respectively.


A sulfonium salt of iodized benzene ring-containing carboxylic acid having the formula (5) is also useful as the quencher.




embedded image


In formula (5), R201 is hydroxy, fluorine, chlorine, bromine, amino, nitro, cyano, or a C1-C6 saturated hydrocarbyl, C1-C6 saturated hydrocarbyloxy, C2-C6 saturated hydrocarbylcarbonyloxy or C1-C4 saturated hydrocarbylsulfonyloxy group, in which some or all hydrogen may be substituted by halogen, or —N(R201A)—C(═O)—R201B, or —N(R201A)—C(═O)—O—R201B. R201A is hydrogen or a C1-C6 saturated hydrocarbyl group. R201B is a C1-C6 saturated hydrocarbyl or C2-C8 unsaturated aliphatic hydrocarbyl group.


In formula (5), x′ is an integer of 1 to 5, y′ is an integer of 0 to 3, and z′ is an integer of 1 to 3. L11 is a single bond, or a C1-C20 (z′+1)-valent linking group which may contain at least one moiety selected from ether bond, carbonyl moiety, ester bond, amide bond, sultone ring, lactam ring, carbonate bond, halogen, hydroxy moiety, and carboxy moiety. The saturated hydrocarbyl, saturated hydrocarbyloxy, saturated hydrocarbylcarbonyloxy, and saturated hydrocarbylsulfonyloxy groups may be straight, branched or cyclic. A plurality of R201 may be the same or different when y′ and/or z′ is 2 or 3.


In formula (5), R202, R203 and R204 are each independently halogen, or a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for the hydrocarbyl groups R3 to R7 in formulae (1) and (2). In these groups, some or all hydrogen may be substituted by hydroxy, carboxy, halogen, oxo, cyano, nitro, sultone, sulfone, or sulfonium salt-containing moiety, or some —CH2— may be replaced by an ether bond, ester bond, carbonyl moiety, amide bond, carbonate bond or sulfonic ester bond. Also R202 and R203 may bond together to form a ring with the sulfur atom to which they are attached.


Examples of the compound having formula (5) include those described in U.S. Pat. No. 10,295,904 (JP-A 2017-219836).


Also useful are quenchers of polymer type as described in U.S. Pat. No. 7,598,016 (JP-A 2008-239918). The polymeric quencher segregates at the resist film surface after coating and thus enhances the rectangularity of resist pattern. When a protective film is applied as is often the case in the immersion lithography, the polymeric quencher is also effective for preventing a film thickness loss of resist pattern or rounding of pattern top.


The quencher is preferably added in an amount of 0 to 5 parts by weight, more preferably 0 to 4 parts by weight per 100 parts by weight of the base polymer.


Other Components


In addition to the foregoing components, the resist composition may further contain other components such as an acid generator other than the sulfonium or iodonium salt having formula (1) or (2), surfactant, dissolution inhibitor, crosslinker, water repellency improver, and acetylene alcohol. Each additional component may be used alone or in admixture of two or more.


The other acid generator is typically a compound (PAG) capable of generating an acid upon exposure to actinic ray or radiation. Although the PAG used herein may be any compound capable of generating an acid upon exposure to high-energy radiation, those compounds capable of generating sulfonic acid, imide acid (imidic acid) or methide acid are preferred. Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate acid generators. Exemplary PAGs are described in JP-A 2008-111103, paragraphs [0122]-[0142] (U.S. Pat. No. 7,537,880), JP-A 2018-005224, and JP-A 2018-025789. The other acid generator is preferably added in an amount of 0 to 200 parts, more preferably 0.1 to 100 parts by weight per 100 parts by weight of the base polymer.


Exemplary surfactants are described in JP-A 2008-111103, paragraphs [0165]-[0166]. Inclusion of a surfactant may improve or control the coating characteristics of the resist composition. The surfactant is preferably added in an amount of 0.0001 to 10 parts by weight per 100 parts by weight of the base polymer.


In the case of positive resist compositions, inclusion of a dissolution inhibitor may lead to an increased difference in dissolution rate between exposed and unexposed areas and a further improvement in resolution. The dissolution inhibitor which can be used herein is a compound having at least two phenolic hydroxy groups on the molecule, in which an average of from 0 to 100 mol % of all the hydrogen atoms on the phenolic hydroxy groups are replaced by acid labile groups or a compound having at least one carboxy group on the molecule, in which an average of 50 to 100 mol % of all the hydrogen atoms on the carboxy groups are replaced by acid labile groups, both the compounds having a molecular weight of 100 to 1,000, and preferably 150 to 800. Typical are bisphenol A, trisphenol, phenolphthalein, cresol novolac, naphthalenecarboxylic acid, adamantanecarboxylic acid, and cholic acid derivatives in which the hydrogen atom on the hydroxy or carboxy group is replaced by an acid labile group, as described in U.S. Pat. No. 7,771,914 (JP-A 2008-122932, paragraphs [0155]-[0178]).


In the positive resist composition, the dissolution inhibitor is preferably added in an amount of 0 to 50 parts, more preferably 5 to 40 parts by weight per 100 parts by weight of the base polymer.


In the case of negative resist compositions, a negative pattern may be formed by adding a crosslinker to reduce the dissolution rate of exposed area. Suitable crosslinkers which can be used herein include epoxy compounds, melamine compounds, guanamine compounds, glycoluril compounds and urea compounds having substituted thereon at least one group selected from among methylol, alkoxymethyl and acyloxymethyl groups, isocyanate compounds, azide compounds, and compounds having a double bond such as an alkenyloxy group. These compounds may be used as an additive or introduced into a polymer side chain as a pendant. Hydroxy-containing compounds may also be used as the crosslinker.


Suitable epoxy compounds include tris(2,3-epoxypropyl) isocyanurate, trimethylolmethane triglycidyl ether, trimethylolpropane triglycidyl ether, and triethylolethane triglycidyl ether. Examples of the melamine compound include hexamethylol melamine, hexamethoxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups methoxymethylated and mixtures thereof, hexamethoxyethyl melamine, hexaacyloxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups acyloxymethylated and mixtures thereof. Examples of the guanamine compound include tetramethylol guanamine, tetramethoxymethyl guanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethoxyethyl guanamine, tetraacyloxyguanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof. Examples of the glycoluril compound include tetramethylol glycoluril, tetramethoxyglycoluril, tetramethoxymethyl glycoluril, tetramethylol glycoluril compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethylol glycoluril compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof. Examples of the urea compound include tetramethylol urea, tetramethoxymethyl urea, tetramethylol urea compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, and tetramethoxyethyl urea.


Suitable isocyanate compounds include tolylene diisocyanate, diphenylmethane diisocyanate, hexamethylene diisocyanate and cyclohexane diisocyanate. Suitable azide compounds include 1,1′-biphenyl-4,4′-bisazide, 4,4′-methylidenebisazide, and 4,4′-oxybisazide. Examples of the alkenyloxy-containing compound include ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,2-propanediol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, neopentyl glycol divinyl ether, trimethylol propane trivinyl ether, hexanediol divinyl ether, 1,4-cyclohexanediol divinyl ether, pentaerythritol trivinyl ether, pentaerythritol tetravinyl ether, sorbitol tetravinyl ether, sorbitol pentavinyl ether, and trimethylol propane trivinyl ether.


In the negative resist composition, the crosslinker is preferably added in an amount of 0.1 to 50 parts, more preferably 1 to 40 parts by weight per 100 parts by weight of the base polymer.


To the resist composition, a water repellency improver may also be added for improving the water repellency on surface of a resist film. The water repellency improver may be used in the topcoatless immersion lithography. Suitable water repellency improvers include polymers having a fluoroalkyl group and polymers having a specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue and are described in JP-A 2007-297590 and JP-A 2008-111103, for example. The water repellency improver to be added to the resist composition should be soluble in alkaline developers and organic solvent developers. The water repellency improver of specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue is well soluble in the developer. A polymer comprising repeat units having an amino group or amine salt serves as the water repellency improver and is effective for preventing evaporation of acid during PEB, thus preventing any hole pattern opening failure after development. An appropriate amount of the water repellency improver is 0 to 20 parts, preferably 0.5 to 10 parts by weight per 100 parts by weight of the base polymer.


Also, an acetylene alcohol may be blended in the resist composition. Suitable acetylene alcohols are described in JP-A 2008-122932, paragraphs [0179]-[0182]. An appropriate amount of the acetylene alcohol blended is 0 to 5 parts by weight per 100 parts by weight of the base polymer.


Process


The resist composition is used in the fabrication of various integrated circuits. Pattern formation using the resist composition may be performed by well-known lithography processes. The process generally involves the steps of applying the resist composition onto a substrate to form a resist film thereon, exposing the resist film to high-energy radiation, and developing the exposed resist film in a developer. If necessary, any additional steps may be added.


For example, the resist composition is first applied onto a substrate on which an integrated circuit is to be formed (e.g., Si, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, or organic antireflective coating) or a substrate on which a mask circuit is to be formed (e.g., Cr, CrO, CrON, MoSi2, or SiO2) by a suitable coating technique such as spin coating, roll coating, flow coating, dipping, spraying or doctor coating. The coating is prebaked on a hotplate at a temperature of preferably 60 to 150° C. for 10 seconds to 30 minutes, more preferably at 80 to 120° C. for 30 seconds to 20 minutes. The resulting resist film is generally 0.01 to 2 μm thick.


Then the resist film is exposed to high-energy radiation. Examples of the high-energy radiation include UV, deep-UV, EB, EUV of wavelength 3 to 15 nm, x-ray, soft x-ray, excimer laser light, γ-ray or synchrotron radiation. On use of UV, deep UV, EUV, x-ray, soft x-ray, excimer laser, γ-ray or synchrotron radiation, the resist film is exposed directly or through a mask having a desired pattern, preferably in a dose of about 1 to 200 mJ/cm2, more preferably about 10 to 100 mJ/cm2. On use of EB, a pattern may be written directly or through a mask having a desired pattern, preferably in a dose of about 0.1 to 300 μC/cm2, more preferably about 0.5 to 200 μC/cm2. The resist composition is suited for micropatterning using high-energy radiation such as KrF excimer laser, ArF excimer laser, EB, EUV, x-ray, soft x-ray, γ-ray or synchrotron radiation, especially EB or EUV.


After the exposure, the resist film may be baked (PEB) on a hotplate or in an oven at 30 to 150° C. for 10 seconds to 30 minutes, preferably at 50 to 120° C. for 30 seconds to 20 minutes.


After the exposure or PEB, the resist film is developed with a developer in the form of an aqueous base solution for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes by conventional techniques such as dip, puddle and spray techniques. A typical developer is a 0.1 to 10 wt %, preferably 2 to 5 wt % aqueous solution of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), or tetrabutylammonium hydroxide (TBAH). The resist film in the exposed area is dissolved in the developer whereas the resist film in the unexposed area is not dissolved. In this way, the desired positive pattern is formed on the substrate. Inversely in the case of negative resist, the exposed area of resist film is insolubilized and the unexposed area is dissolved in the developer.


In an alternative embodiment, a negative pattern may be formed via organic solvent development using a positive resist composition comprising a base polymer having an acid labile group. The developer used herein is preferably selected from among 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isopentyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, and 2-phenylethyl acetate, and mixtures thereof.


At the end of development, the resist film is rinsed. As the rinsing liquid, a solvent which is miscible with the developer and does not dissolve the resist film is preferred. Suitable solvents include alcohols of 3 to 10 carbon atoms, ether compounds of 8 to 12 carbon atoms, alkanes, alkenes, and alkynes of 6 to 12 carbon atoms, and aromatic solvents. Specifically, suitable alcohols of 3 to 10 carbon atoms include n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, t-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, t-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, and 1-octanol. Suitable ether compounds of 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-s-butyl ether, di-n-pentyl ether, diisopentyl ether, di-s-pentyl ether, di-t-pentyl ether, and di-n-hexyl ether. Suitable alkanes of 6 to 12 carbon atoms include hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, cyclooctane, and cyclononane. Suitable alkenes of 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, and cyclooctene. Suitable alkynes of 6 to 12 carbon atoms include hexyne, heptyne, and octyne. Suitable aromatic solvents include toluene, xylene, ethylbenzene, isopropylbenzene, t-butylbenzene and mesitylene. The solvents may be used alone or in admixture.


Rinsing is effective for minimizing the risks of resist pattern collapse and defect formation. However, rinsing is not essential. If rinsing is omitted, the amount of solvent used may be reduced.


A hole or trench pattern after development may be shrunk by the thermal flow, RELACS® or DSA process. A hole pattern is shrunk by coating a shrink agent thereto, and baking such that the shrink agent may undergo crosslinking at the resist surface as a result of the acid catalyst diffusing from the resist layer during bake, and the shrink agent may attach to the sidewall of the hole pattern. The bake is preferably at a temperature of 70 to 180° C., more preferably 80 to 170° C., for a time of 10 to 300 seconds. The extra shrink agent is stripped and the hole pattern is shrunk.


Examples

Examples of the invention are given below by way of illustration and not by way of limitation. The abbreviation “pbw” is parts by weight.


Acid generators PAG-1 to PAG-25 used in resist compositions have the structure shown below. They were synthesized by reacting a sulfonium or iodonium salt of sulfonic acid having a hydroxy or thiol group with an isocyanate or isothiocyanate compound.




embedded image


embedded image


embedded image


embedded image


embedded image


Synthesis Example Synthesis of Base Polymers (Polymers P-1 to P-4)

A base polymer (Polymers P-1 to P-4) was prepared by combining suitable monomers, effecting copolymerization reaction thereof in tetrahydrofuran (THF) solvent, pouring the reaction solution into methanol, repeatedly washing the precipitate with hexane, isolation, and drying. The resulting polymer was analyzed for composition by 1H-NMR spectroscopy, and for Mw and Mw/Mn by GPC versus polystyrene standards using THE solvent.

















P-1 Mw = 5200 Mw/Mn = 1.45


embedded image











embedded image








P-2 Mw = 4800 Mw/Mn = 1.41


embedded image











embedded image











embedded image











embedded image








P-3 Mw = 7400 Mw/Mn = 1.66


embedded image











embedded image











embedded image











embedded image








P-4 Mw = 5100 Mw/Mn = 1.51


embedded image











embedded image











Examples 1 to 28 and Comparative Examples 1 and 2

(1) Preparation of Resist Compositions


Resist compositions were prepared by dissolving various components in a solvent in accordance with the recipe shown in Tables 1 and 2, and filtering through a filter having a pore size of 0.2 μm. The solvent contained 100 ppm of surfactant Polyfox PF-636 (Omnova Solutions Inc.).


The components in Tables 1 and 2 are as identified below.


Organic solvent:

    • PGMEA (propylene glycol monomethyl ether acetate)
    • EL (ethyl lactate)
    • DAA (diacetone alcohol)




embedded image


(2) EUV Lithography Test


Each of the resist compositions in Tables 1 and 2 was spin coated on a silicon substrate having a 20-nm coating of silicon-containing spin-on hard mask SHB-A940 (Shin-Etsu Chemical Co., Ltd., Si content 43 wt %) and prebaked on a hotplate at 105° C. for 60 seconds to form a resist film of 50 nm thick. Using an EUV scanner NXE3400 (ASML, NA 0.33, σ0.9/0.6, quadrupole illumination), the resist film was exposed to EUV through a mask bearing a hole pattern at a pitch 40 nm and +20% bias (on-wafer size). The resist film was baked (PEB) on a hotplate at the temperature shown in Tables 1 and 2 for 60 seconds and developed in a 2.38 wt % TMAH aqueous solution for 30 seconds to form a pattern. In Examples 1 to 27 and Comparative Example 1, a hole pattern having a size of 20 nm was formed. In Example 28 and Comparative Example 2, a dot pattern having a size of 20 nm was formed.


The resist pattern was observed under CD-SEM (CG-6300, Hitachi High-Technologies Corp.). The exposure dose that provides a hole or dot pattern having a size of 20 nm is reported as sensitivity. The size of 50 holes or dots was measured, from which a 3-fold value (36) of the standard deviation (6) was computed and reported as size variation or CDU.


The resist composition is shown in Tables 1 and 2 together with the sensitivity and CDU of EUV lithography.

















TABLE 1








Acid

Organic
PEB





Polymer
generator
Quencher
solvent
temp.
Sensitivity
CDU



(pbw)
(pbw)
(pbw)
(pbw)
(° C.)
(mJ/cm2)
(nm)
























Example
1
P-1
PAG-1
Q-1
PGMEA (500)
80
31
3.0




(100)
(21.8)
(4.72)
EL (2000)



2
P-1
PAG-2
Q-1
PGMEA (500)
80
28
3.0




(100)
(24.4)
(4.72)
EL (2000)



3
P-1
PAG-3
Q-1
PGMEA (500)
80
26
2.9




(100)
(26.1)
(4.72)
EL (2000)



4
P-1
PAG-4
Q-1
PGMEA (2000)
80
27
2.7




(100)
(26.1)
(4.72)
DAA (500)



5
P-1
PAG-5
Q-1
PGMEA (2000)
80
28
2.6




(100)
(24.6)
(4.72)
DAA (500)



6
P-1
PAG-6
Q-1
PGMEA (2000)
80
30
2.8




(100)
(23.5)
(4.72)
DAA (500)



7
P-1
PAG-7
Q-1
PGMEA (2000)
80
29
2.9




(100)
(29.9)
(4.72)
DAA (500)



8
P-1
PAG-8
Q-1
PGMEA (2000)
80
30
2.9




(100)
(27.0)
(4.72)
DAA (500)



9
P-1
PAG-9
Q-1
PGMEA (2000)
80
28
2.7




(100)
(26.9)
(4.72)
DAA (500)



10
P-1
PAG-10
Q-1
PGMEA (2000)
80
32
2.9




(100)
(25.5)
(4.72)
DAA (500)



11
P-1
PAG-11
Q-1
PGMEA (2000)
80
29
2.8




(100)
(26.0)
(4.72)
DAA (500)



12
P-1
PAG-12
Q-1
PGMEA (2000)
80
29
2.6




(100)
(29.1)
(4.72)
DAA (500)



13
P-1
PAG-13
Q-1
PGMEA (2000)
80
30
2.5




(100)
(32.3)
(4.72)
DAA (500)



14
P-1
PAG-14
Q-1
PGMEA (2000)
80
28
2.7




(100)
(28.2)
(4.72)
DAA (500)



15
P-1
PAG-15
Q-2
PGMEA (2000)
80
27
2.7




(100)
(33.2)
(8.16)
DAA (500)



16
P-1
PAG-16
Q-2
PGMEA (2000)
80
28
2.6




(100)
(29.6)
(8.16)
DAA (500)



17
P-1
PAG-17
Q-2
PGMEA (2000)
80
27
2.5




(100)
(20.0)
(8.16)
DAA (500)



18
P-1
PAG-18
Q-2
PGMEA (2000)
80
25
2.6




(100)
(27.8)
(8.16)
DAA (500)



19
P-1
PAG-19
Q-2
PGMEA (2000)
80
26
2.5




(100)
(27.2)
(8.16)
DAA (500)



20
P-1
PAG-20
Q-2
PGMEA (2000)
80
28
2.6




(100)
(28.8)
(8.16)
DAA (500)



21
P-1
PAG-21
Q-2
PGMEA (2000)
80
28
2.5




(100)
(27.3)
(8.16)
DAA (500)



22
P-1
PAG-22
Q-2
PGMEA (2000)
80
27
2.6




(100)
(30.2)
(8.16)
DAA (500)



23
P-1
PAG-23
Q-2
PGMEA (2000)
80
28
2.5




(100)
(34.8)
(8.16)
DAA (500)



24
P-1
PAG-24
Q-2
PGMEA (2000)
80
26
2.7




(100)
(32.7)
(8.16)
DAA (500)



25
P-1
PAG-25
Q-2
PGMEA (2000)
80
27
2.7




(100)
(33.5)
(8.16)
DAA (500)



26
P-2
PAG-8
Q-2
PGMEA (2000)
80
29
2.4




(100)
(27.0)
(8.16)
DAA (500)



27
P-3
PAG-14
Q-2
PGMEA (2000)
80
30
2.8




(100)
(18.8)
(8.16)
DAA (500)



28
P-4
PAG-14
Q-1
PGMEA (2000)
130
41
3.2




(100)
(18.8)
(2.72)
DAA (500)
























TABLE 2








Acid

Organic
PEB





Polymer
generator
Quencher
solvent
temp.
Sensitivity
CDU



(pbw)
(pbw)
(pbw)
(pbw)
(° C.)
(mJ/cm2)
(nm)
























Comparative
1
P-1
cPAG-1
Q-1
PGMEA (2000)
80
37
4.9


Example

(100)
(21.4)
(4.72)
DAA (500)



2
P-4
cPAG-1
Q-1
PGMEA (2000)
130
51
4.3




(100)
(14.3)
(2.72)
DAA (500)









It is demonstrated in Tables 1 and 2 that resist compositions comprising a sulfonium or iodonium salt having formula (1) or (2) as the acid generator offer a high sensitivity and improved CDU.


Japanese Patent Application No. 2022-143561 is incorporated herein by reference. Although some preferred embodiments have been described, many modifications and variations may be made thereto in light of the above teachings. It is therefore to be understood that the invention may be practiced otherwise than as specifically described without departing from the scope of the appended claims.

Claims
  • 1. A resist composition comprising an acid generator having the formula (1) or (2):
  • 2. The resist composition of claim 1 wherein the circle R is phenyl, R1 is halogen, trifluoromethyl, trifluoromethoxy or trifluoromethylthio, and m1 is an integer of 1 to 3.
  • 3. The resist composition of claim 1, further comprising a base polymer.
  • 4. The resist composition of claim 3 wherein the base polymer comprises repeat units having the formula (a1) or (a2):
  • 5. The resist composition of claim 4 which is a chemically amplified positive resist composition.
  • 6. The resist composition of claim 3 wherein the base polymer is free of an acid labile group.
  • 7. The resist composition of claim 6 which is a chemically amplified negative resist composition.
  • 8. The resist composition of claim 1, further comprising an organic solvent.
  • 9. The resist composition of claim 1, further comprising a quencher.
  • 10. The resist composition of claim 1, further comprising a surfactant.
  • 11. A pattern forming process comprising the steps of applying the resist composition of claim 1 onto a substrate to form a resist film thereon, exposing the resist film to high-energy radiation, and developing the exposed resist film in a developer.
  • 12. The process of claim 11 wherein the high-energy radiation is ArF excimer laser of wavelength 193 nm, KrF excimer laser of wavelength 248 nm, EB, or EUV of wavelength 3 to 15 nm.
Priority Claims (1)
Number Date Country Kind
2022-143561 Sep 2022 JP national