Self-aligned semiconductor trench structures

Information

  • Patent Grant
  • 8685859
  • Patent Number
    8,685,859
  • Date Filed
    Thursday, September 26, 2013
    10 years ago
  • Date Issued
    Tuesday, April 1, 2014
    10 years ago
Abstract
Methods for forming a semiconductor device include forming self-aligned trenches, in which a first set of trenches is used to align a second set of trenches. Methods taught herein can be used as a pitch doubling technique, and may therefore enhance device integration. Further, employing a very thin CMP stop layer, and recessing surrounding materials by about an equal amount to the thickness of the CMP stop layer, provides improved planarity at the surface of the device.
Description
FIELD OF INVENTION

Embodiments relate to methods for forming semiconductor structures, more specifically to methods for forming self-aligned trenches in semiconductor processing.


BACKGROUND OF THE INVENTION

One way that integrated circuit designers make faster and smaller integrated circuits is by reducing the separation distance between the individual elements that comprise the integrated circuit. This process of increasing the density of circuit elements across a substrate is typically referred to as “scaling” or increasing the level of device integration. In the process of designing integrated circuits with higher levels of integration, improved device constructions and fabrication methods have been developed.





BRIEF DESCRIPTION OF THE DRAWINGS

Exemplary embodiments of the invention disclosed herein are illustrated in the accompanying drawings, which are not drawn to scale but are for illustrative purposes only:



FIG. 1 illustrates a cross-sectional view of a partially formed semiconductor device.



FIG. 2 illustrates a cross-sectional View of the partially formed semiconductor device of FIG. 1 after a photo mask has been used to pattern a hard mask.



FIG. 3 illustrates a cross-sectional view of the partially formed semiconductor device of FIG. 2 after the hard mask has been used to pattern a second hard mask.



FIG. 4 illustrates a cross-sectional View of the partially formed semiconductor device of FIG. 3 after certain layers have been patterned and spacers formed therein.



FIG. 5 illustrates a cross-sectional View of the partially formed semiconductor device of FIG. 4 after etching a first set of trenches into the substrate.



FIG. 6 illustrates a cross-sectional View of the partially formed semiconductor device of FIG. 5 after filling the trenches.



FIG. 7 illustrates a cross-sectional View of the partially formed semiconductor device of FIG. 6 after planarization.



FIG. 8 illustrates a cross-sectional View of the partially formed semiconductor device of FIG. 7 after selective etching of a placeholder layer from between filled trenches and deposition of a spacer material.



FIG. 9 illustrates a cross-sectional View of the partially formed semiconductor device of FIG. 8 after performing a spacer etch and subsequently selectively etching a second set of trenches into the substrate in a self-aligned manner.



FIG. 10 illustrates a cross-sectional view of the partially formed semiconductor device of FIG. 9 after the second set of trenches have been filled



FIG. 11 illustrates a cross-sectional view of the partially formed semiconductor device of FIG. 10 after planarization stopping on an etch stop layer.



FIG. 12 illustrates a detail of a cross-sectional view of the partially formed semiconductor device of FIG. 11 after portions of the surface have been selectively recessed.



FIG. 13 illustrates a detail of a cross-sectional view of the partially formed semiconductor device of FIG. 12 after the etch stop layer has been removed.



FIG. 14 shows a top-down view of the semiconductor device of FIG. 13.





DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT

Disclosed herein are fabrication techniques for semiconductor structures including self-aligned trenches. Self-aligned trenches can be used as part of pitch doubling techniques, which promote device integration. The fabrication techniques described herein advantageously enable more precise etching, minimize stress to the substrate and/or promote planarity at the surface of the semiconductor structure.



FIG. 1 is a cross-sectional view of a partially formed semiconductor device including a substrate 110 over which several additional layers have been formed to facilitate a masking process. The substrate 110 comprises one or more of a wide variety of suitable workpieces for semiconductor processing. In some embodiments, the substrate 110 includes semiconductor structures that have been fabricated thereon, such as doped silicon platforms. While the illustrated substrate 110 comprises a monocrystalline silicon wafer, in other embodiments the substrate 110 comprises other forms of semiconductor layers, which optionally include other active or operable portions of semiconductor devices. Substrate is also used herein to refer to the workpiece including integrated layers formed thereover.


As shown in FIG. 1, in some embodiments an oxide layer 210 is grown or deposited on the substrate 110. The oxide layer 210 may comprise a thin pad oxide with a thickness between about 30 Å and 150 Å. The oxide layer 210 can be deposited using a suitable deposition process, such as chemical vapor deposition (“CVD”) or physical vapor deposition (“PVD”), or is grown by oxidation of the underlying surface.


The partially formed semiconductor device of FIG. 1 also shows an etch stop 211 formed over the oxide layer 210 by a suitable deposition process such as CVD or PVD. The etch stop 211 serves as a stop layer for a later planarization step (e.g., a CMP stop layer), as will be described hereinbelow. Relative to conventional CMP stop layers, the etch stop 211 can be very thin, e.g., may have a thickness between about 20 Å and 150 Å, more particularly between about 50 Å and 100 Å. As will be appreciated from the description below, this thin etch stop can improve planarity for subsequent processing. In some embodiments, the etch stop 211 comprises nitride, such as silicon nitride (“Si3N4”). In other embodiments, the etch stop 211 comprises aluminum oxide (“Al2O3”) or another material against which a neighboring material, particularly silicon oxide, can be selectively etched by a chemical and/or mechanical etching process.


As shown in FIG. 1, some embodiments also provide a placeholder material 212 formed above the etch stop 211. Advantageously, the placeholder material 212 may have a thickness sufficient to provide space for the formation of a spacer material in a later step, as described hereinbelow. Thus, the placeholder material 212 may have a thickness suitable for later definition of a sidewall spacer height, such as between about 500 Å to 3000 Å, more particularly 800 Å to 1000 Å. In the illustrated embodiment, the placeholder material 212 comprises polysilicon, although other materials that are selectively etchable with respect to surrounding materials, and particularly trench isolation materials, can also be used.


In accordance with embodiments of the present invention, a hard mask is provided for etching a first set of trenches. In the embodiment shown in FIG. 1, two hard mask layers 213, 214 are provided, the first hard mask layer 214 being used to pattern the second hard mask layer 213, and the second hard mask layer 213 serving as the mask through which the first set of trenches will be etched. This embodiment is described in greater detail below, as this arrangement provides certain performance advantages, such as an improved aspect ratio for etching the first set of trenches. However, other embodiments are also possible wherein a first set of trenches is etched using only a single hard mask, such as by using a photomask to etch a carbon hard mask and then etching the trenches through the carbon hard mask. In still other embodiments, no hard mask is used at all and instead the first set of trenches are simply formed using a photomask.


Referring again to the embodiment illustrated in FIG. 1, the second hard mask layer 213 is formed over the placeholder material 212 by any suitable deposition process such as CVD or PVD. The second hard mask layer 213 may comprise a form of silicon oxide, such as tetraethylorthosilicate (“TEOS”), and it may have a thickness between about 500 Å to 1500 Å, more particularly 800 Å to 1200 Å. The first hard mask layer 214 can comprise carbon, such as amorphous carbon. In particular, the amorphous carbon can be a form of transparent carbon that is highly transparent to light, such as is disclosed in A. Helmbold, D. Meissner, Thin Solid Films, 283 (1996), 196-203, the entire disclosure of which is incorporated herein by reference. The first hard mask layer 214 may have a thickness between about 1000 Å to 3000 Å, more particularly 1800 Å to 2200 Å. Accordingly, the fraction of the thickness of the second hard mask 213 as a percentage of the thickness of the first hard mask 214 is less than 100%, more particularly 30-70%, even more particularly 40-60%. This reduced thickness of second hard mask layer 213, as compared to the first hard mask layer 214, advantageously provides a lower aspect ratio when the first set of trenches are etched as compared to using the first hard mask 214 directly.


As shown in FIG. 1, some embodiments also provide coatings 215, 216 formed above the first hard mask 214. A dielectric antireflective coating (“DARC”) 215 advantageously protects the first hard mask 214. The DARC 215 may comprise a silicon rich material, such as silicon oxynitride (“SixOyNz”). An organic bottom antireflective coating (“BARC”) 216 may also be included at the interface with a photoresist 218.


The photoresist 218 shown in FIG. 1 provides the pattern for removing portions of the first hard mask 214 through photolithography and etching techniques. In some embodiments, as shown in FIG. 1, the photoresist 218 provides a pattern of parallel lines (shown in cross-section in FIG. 1; see also FIG. 13) separated from each other by gaps. In some embodiments, such as when a high degree of device integration is desired, the width of the lines and the gaps between the lines may be approximately equal to “F”, where F can be the minimum feature size formable using a particular photolithographic technique. In general, however, “F” is used in the figures to represent relative dimensions. The skilled artisan will appreciate that the methods described herein can be implemented where F is greater than the minimum resolution. Other embodiments can utilize photoresists 218 defining other patterns, which in turn produce different configurations of trenches in later steps. In an exemplary embodiment, the photoresist 218 is formed by spinning on a photosensitive material, exposing the photosensitive material to light through a mask containing the desired pattern, and then developing the material into the photoresist 218. Next, the first hard mask 214 can be etched through the gaps in the photoresist 218. After the photolithography and etching of the first hard mask 214 has been carried out, the photoresist 218 can be stripped, or the photoresist can be removed during the etching of the first hard mask 214, thus producing the partially formed semiconductor device shown in FIG. 2.


In FIG. 2, a first hard mask 214 has been etched with a pattern of alternating lines and gaps. As noted above, in some embodiments, the first set of trenches can be etched into the substrate through the first hard mask 214. However, in the embodiment illustrated in FIGS. 2-3, the relatively thinner second hard mask 213 is etched through the thicker first hard mask 214, and the first hard mask 214 is then removed. This process produces the partially formed semiconductor device shown in FIG. 3, in which the second hard mask 213 has been patterned.


With reference to FIG. 4, the pattern of the second hard mask 213 has been transferred (e.g., via selective wet etch) to the placeholder material 212. An upper sidewall spacer 217 can be formed along the sidewalls of the placeholder material 212 and the second hard mask 213. The upper sidewall spacer 217 can be formed by conformally depositing a uniformly thick silicon oxide, such as the same material in the second hard mask 213, over upper and sidewall surfaces, and then directionally etching the deposited oxide such that horizontal surfaces are preferentially removed but the upper sidewall spacer 217 remains in the desired locations. In some embodiments, the upper sidewall spacers 217 have a thickness of approximately ¼ F, which can provide a gap between the upper sidewall spacers 217 of approximately ½ F. Such configurations can advantageously provide two uniform sets of trenches with approximately equal widths and separated by approximately equal distances, as will be described hereinbelow.


With reference to FIG. 5, a first set of trenches 300 has been etched into the substrate 110 through the second hard mask 213 and the upper sidewall spacers 217. The etching process can be a selective etching process that preferentially etches the material to be removed for the trench, such as silicon, without etching the material of the second hard mask 213 and spacers 217, such as TEOS or other silicon oxide-based material. The skilled artisan will appreciate that other hard mask materials and other selective etch chemistries can be employed, such as when damascene trenches are being formed in an interlevel dielectric (“ILD”). Hence, FIG. 5 shows the second hard mask 213 still in place after the formation of the first set of trenches 300. Nonetheless, the skilled artisan will recognize that the selective etching may be imperfect, thus eliminating all or a portion of the second hard mask 213 in the process of etching the first set of trenches 300.


In some embodiments, the trenches of the first set 300 are separated by a series of inter-trench regions 301, in which a second set of trenches can later be formed. In some embodiments, the width of the inter-trench regions 301 may be approximately equal to 1.5 F. Thus, in the embodiment illustrated in FIG. 5, after the trenches of the first set 300 have been formed, the trenches have a width approximately equal to ½ F, the upper sidewall spacers 217 have a width approximately equal to ¼ F, and the inter-trench regions 301, which include the upper sidewall spacers 217, have a width approximately equal to 1.5 F.


The trenches of the first set 300 have a depth “D1” defined by the distance from the top of the substrate 110 in the inter-trench regions 301 to the bottom silicon of the trenches 300 immediately after the step of etching the first set of trenches 300. In some embodiments, D1 is between about 2000 Å and 3000 Å, more particularly 2000 Å to 2500 Å.


The trenches of the first set 300 also have an aspect ratio defined by the ratio between a depth “D2” of the trenches (in this case including the masking layers) and a width of the trenches at the top of the trenches. The depth D2 is defined as the distance from the top surface in the inter-trench regions 301 to the bottom of the trenches 300 immediately after the step of etching the first set of trenches 300. For reasons explained hereinabove, immediately after the step of etching the first set of trenches, the top surface of the inter-trench regions 301 may be at the top of the second hard mask 213 (as shown in FIG. 5) or at the top of the placeholder material 212. In some embodiments, the aspect ratio is between about 5:1 and 100:1, more particularly between about 10:1 and 25:1.


Following the formation of the first set of trenches 300, the trenches can be filled. In some embodiments, the trenches 300 may be lined with one or more liner layers. For example, in the embodiment illustrated in FIG. 6, a thin oxide layer 220 is grown in the trenches as well as the surface of the inter-trench regions 301 with a thickness of between about 20 Å to 70 Å. The oxide layer 220 advantageously repairs etch damage to the walls of the trenches 300. FIG. 6 also shows a nitride layer 221 with a thickness between about 30 Å to 100 Å that has been deposited above the oxide layer 220 as a barrier layer to facilitate later oxide densification. A TEOS layer 223 of thickness between about 100 Å to 300 Å may optionally be formed above the nitride layer 221, providing a diffusion path for more even distribution of oxidant during SOD densification. The trenches 300 are then filled with a filler material 225, such as an electrically isolating material. In an exemplary embodiment, filler material 225 comprises a spin-on dielectric. As shown in FIG. 6, the filling step may include overfilling the trenches 300, such that the filler material 225 extends above the top of the trenches 300.



FIG. 7 illustrates a cross-sectional view of the partially formed semiconductor device of FIG. 6 after the surface has been planarized. The planarizing process may comprise a chemical mechanical polishing process. As shown in FIG. 7, the planarizing step may stop on the placeholder material 212, such that after the planarizing step, the surface of the partially formed device comprises the filler material 225 in the trenches 300 and the exposed placeholder material 212 in the inter-trench regions 301.


Next, the upper sidewall spacers 217 can be removed (if they have not already been removed during the earlier processes), and the placeholder material 212 can be selectively etched from the inter-trench regions 301, thus producing a partially formed device in which the filler material 225 in the trenches 300 protrudes above the surface of the inter-trench regions 301. In some embodiments, TMAH can be used in an isotropic wet etch to selectively remove the placeholder material 212 from the inter-trench regions 301. A second spacer material 230, such as TEOS, may then be blanket deposited to conform to the surface of the device, as shown in FIG. 8.


The spacer material 230 can then be preferentially etched from horizontal surfaces using a directional spacer etch. The directional spacer etch leaves in place second spacer material 230 in the form of sidewall spacers on approximately vertical surfaces, such as the sidewalls of the portions of the filler material above the trenches 300, protruding above the surface of the inter-trench regions 301. These remaining portions of the second spacer material 230 can then be used to align a second set of trenches 302 within the inter-trench regions 301, spaced by the spacers from the first trenches 300. In some embodiments, following the preferential etch of the second spacer material 230, the spacer material can have a thickness approximately equal to ½ F. Such embodiments can provide approximately uniform widths of the first and second sets of trenches as well as approximately uniform spacing or gaps between the first and second sets of trenches.



FIG. 9 shows a cross-sectional view of the partially formed semiconductor device after the second set of trenches 302 has been etched into the substrate 110. FIG. 8 shows an embodiment in which the first trenches 300 are deeper than the second trenches 302, but other configurations are possible: the second set could be deeper than the first set, or the first set and the second set could be approximately the same depth. As noted above, embodiments can provide a technique for pitch doubling, wherein a trench of the first set 300 is spaced less than a distance F (e.g., approximately ½ F) from a trench of the second set 302.



FIG. 10 shows the partially formed semiconductor device after the second trenches 302 have been filled. The second trenches 302 can be lined with an oxide liner 310, such as an oxide that is grown to a thickness between about 30 Å and 150 Å. As shown in FIG. 10, the trenches 302 may then be overfilled with a second filler material 312, such as with a high density plasma (“HDP”) oxide that extends above the top of the trenches 302. Note that while the illustrated trenches 300, 302 have been filled in the illustrated embodiment by different techniques and embodiments, both sets of trenches are filled by forms of silicon oxide that chemically similar from the point of view of selective etching.


Following the filling of the trenches 302, the partially formed device may then be planarized. The planarization may be carried out using a chemical mechanical polishing (“CMP”) process, and it may stop on the etch stop 211. It is typically difficult to control the planarization process with sufficient precision to stop at the top surface of the thin etch stop 211, such that a majority of the illustrated thin etch stop 211 thickness is consumed at the end of the CMP process. Typically between about 10 Å and 100 Å of the etch stop 211 is consumed in stopping the CMP process, which can represent between about 50% and 80% of the etch stop 211 thickness. A cross-sectional view of a partially formed device after this planarization step has been carried out is illustrated in FIG. 11.


In some embodiments, the surface of the device after the planarization step may primarily comprise an etch stop 211 and structural material, such as silicon oxide. The structural material can then be selectively recessed to approximately coplanar with the lower surface of the etch stop, for example by selectively etching the oxide against the nitride of the etch stop.



FIG. 12 illustrates a detail of a device's surface after structural materials have been selectively recessed. The amount that structural (illustrated as oxide) materials are recessed is preferably about equal to the thickness of the remaining etch stop 211. In the illustrated embodiment, the structural materials are recessed by between about 10 Å and 70 Å, more particularly between 30 Å and 50 Å. Thus, the recessed structural materials leave an upper surface approximately (e.g., within ±20 Å, more particularly within ±10 Å) coplanar with the lower surface of the etch stop 211. As shown in FIG. 12, other portions of the device, such as nitride liner layer 221, may protrude above the selectively recessed portion along with the etch stop 211.


Next, the etch stop 211 can be removed, for example by selectively etching nitride. Such an etching step may also remove other portions that protrude above the surface of the structural material, such as the nitride liner layer 221. The inventors have observed that such a process enhances the planarity of the device's surface. FIG. 13 illustrates a detail of a semiconductor device after these steps.



FIGS. 11 and 14 illustrate parallel trenches filled with isolation material, made in accordance with the methods described herein. In one embodiment, the deeper trenches 300 represent shallow trench isolation between transistors in an array (e.g., a memory array such as DRAM). These deeper trenches alternate with parallel shallow trenches 302. As will be understood from the process flow of U.S. patent application No. 2006-0046407, published Mar. 2, 2006, the disclosure of which is incorporated by reference herein, these shallow trenches 302 can represent the gap between source and drain pillars in a U-shaped protruding transistor structure, and can remain filled with insulating material or can be replaced with gate dielectric and gate electrode materials for a “3-sided” channel structure. As described in the incorporated '407 publication, subsequent crossing trenches of an intermediate depth can provide gaps between rows of transistors in which gate electrode material can be formed to surround the U-shaped semiconductor structures at least on two sides. In other embodiments, the trenches can be employed for deep DRAM capacitors; can all be for trench isolation, and may be of approximately equal depth; can be damascene trenches in insulating material for later filling with metal lines; etc.


Although not illustrated in the figures, a sacrificial oxide layer with a thickness of between about 100 Å and 200 Å may then be grown and subsequently stripped in order to remove and/or repair any damaged silicon at the upper surface.


Methods have been described that provide several advantages for the formation of semiconductor structures. For example, methods have been taught for using a placeholder material as part of the formation of self-aligned trenches in substrates. Self-aligned trenches can be used as part of pitch doubling techniques, which promote device integration. For example, in the illustrated embodiment, the pitch of the partially formed device was ½ F at the stage shown in FIGS. 1-7, whereas the pitch is F at the stage shown in FIG. 13; the feature size shrinks from F at the stage of FIG. 1 to ½ F at the stage of FIG. 13. Fabrication techniques described herein advantageously enable more precise etching, such as by using a relatively thin second hard mask to improve the aspect ratio during etching of the trenches. Further, methods disclosed herein promote planarity at the surface of the semiconductor structure, such as by providing a relatively thin etch stop, and a sequence of recessing surrounding materials by an amount about equal the amount of etch stop remaining after consumption during a CMP step.


In accordance with one embodiment, a method is provided for forming a device. The method comprises forming a layer of polysilicon on a substrate and forming a first set of trenches in the substrate, wherein remaining portions of the polysilicon layer remain above the substrate in inter-trench regions between trenches of the first set. The method further comprises filling the first set of trenches with a filler material, wherein the filler material extends upward to at least a level adjacent the remaining portions of the polysilicon layer. Further, the method comprises selectively etching the remaining portions of the polysilicon layer from the inter-trench regions, forming spacers on sidewalls of the filler material in the inter-trench regions, and etching a second set of trenches into the substrate between the spacers.


In another embodiment, a method is provided for forming a device on a substrate. The method comprises forming an etch stop layer on the substrate and etching a plurality of first trenches through the etch stop layer and the substrate. The method further comprises filling the first trenches with an isolation material that protrudes above the substrate, forming spacers on sidewalls of the protruding portion of the isolation material, and etching a plurality of second trenches between the spacers. The method further comprises filling the second trenches with a second filler material, planarizing the second filler material and stopping planarizing on the etch stop layer.


In another embodiment, a method is provided of forming an integrated circuit. The method comprises forming a structure on a substrate, the structure comprising a structural material and an etch stop, the etch stop having an upper surface and a lower surface. The method further comprises planarizing the structure and stopping planarizing on the etch stop. The method further comprises selectively recessing the structural material to be approximately coplanar with the lower surface of the etch stop, and selectively removing the etch stop.


It will be appreciated by those skilled in the art that various other omissions, additions and modifications may be made to the methods and structures described above without departing from the scope of the invention. For example, while the illustrated embodiments involve etching alternating “shallow” trench isolation (“STI”) and in a semiconductor material and filling with electrically insulating isolation materials, the skilled artisan will appreciate applications of the principles and advantages taught herein for other contexts. For example, some of the methods taught herein can be applied to defining closely spaced, self-aligned damascene trenches for filling with metal lines. All such changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims
  • 1. A method of forming a device, comprising: providing a substrate;forming a plurality of first trenches in a substrate, comprising: forming a plurality of placeholder lines extending in a first direction; andetching the first trenches through a surface exposed between the placeholder lines;filling the first trenches with an isolation material;forming a plurality of second trenches in the substrate, comprising: selectively removing the placeholder lines to form isolation lines comprising the isolation material, the isolation lines extending in the first direction and protruding above the surface;forming sidewall spacers on sidewalls of the isolation lines; andetching the second trenches through the surface exposed between the sidewall spacers of adjacent isolation lines.
  • 2. The method of claim 1, wherein forming the placeholder lines comprises depositing a layer of placeholder material and lithographically patterning.
  • 3. The method of claim 2, wherein the layer of placeholder material comprises polysilicon.
  • 4. The method of claim 2, wherein forming the placeholder lines further comprises depositing a hardmask material on the placeholder material and lithographically patterning to form hardmask lines over the placeholder material.
  • 5. The method of claim 2, further comprising chemical-mechanically polishing after filling the first trenches to form a substantially planar surface, wherein the substantially planar surface comprises alternating placeholder lines and isolation lines.
  • 6. The method of claim 2, further comprising providing an etch stop material interposed between the substrate and the placeholder material.
  • 7. The method of claim 6, wherein the first trenches extend below the surface at a first trench depth and the second trenches extend below the surface at a second depth shallower than the first depth.
  • 8. The method of claim 1, wherein forming the first trenches further comprises conformally depositing an initial sidewall spacer material over the placeholder lines and directionally etching the initial sidewall spacer material such that the initial sidewall spacer material remains over sidewalls of the placeholder lines.
  • 9. The method of claim 8, wherein forming the placeholder lines further comprises forming lines of hardmask material over the placeholder material, and wherein the initial sidewall spacer material and hardmask material comprise the same material.
  • 10. The method of claim 1, wherein filling the first trenches comprises lining the first trenches with a first liner after etching the first trenches.
  • 11. The method of claim 10, further comprising lining the second trenches with a second liner comprising a second liner material after etching the second trenches, wherein the first liner comprises a first liner material different from the second liner material.
  • 12. A method of forming a device, comprising: forming a plurality of placeholder lines on an etch stop layer;etching a first set of trenches through the etch stop layer exposed between the placeholder lines;filling the first set of trenches between the placeholder lines with an isolation material;selectively removing the placeholder lines to form isolation lines comprising the isolation material;forming pairs of sidewall spacers on sidewalls of the isolation lines; andetching a second set of trenches through the etch stop layer exposed between the isolation lines.
  • 13. The method of claim 12, wherein the etch stop layer comprises silicon nitride.
  • 14. The method of claim 12, wherein the etch stop layer comprises aluminum oxide.
  • 15. The method of claim 12, wherein forming the sidewall spacers comprises: conformally depositing a sidewall spacer material over the isolation lines; anddirectionally etching the sidewall spacer material, such that the sidewall spacer material remains over sidewalls of the isolation lines while the sidewall spacer material is removed between the isolation lines.
  • 16. The method of claim 12, wherein filling the first set of trenches comprises lining the first set of trenches with a first liner comprising a plurality of layers after etching the first trenches; andfurther comprising lining the second set of trenches with a second liner after etching the second trenches.
  • 17. The method of claim 16, wherein the second liner comprises an oxide layer.
  • 18. The method of claim 17, wherein the first liner comprise a barrier layer.
  • 19. The method of claim 18, wherein the barrier layer comprises silicon nitride.
  • 20. The method of claim 16, wherein the first liner comprises a silicon oxide layer and a silicon nitride layer.
CROSS REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. patent application Ser. No. 13/725,384, filed Dec. 21, 2012, now U.S. Pat. No. 8,552,526, which is a continuation of U.S. patent application Ser. No. 13/347,478, filed Jan. 10, 2012, now U.S. Pat. No. 8,343,875, which is a divisional of U.S. patent application Ser. No. 12/209,117, filed Sep. 11, 2008, now U.S. Pat. No. 8,101,497, the disclosures of each of which are hereby incorporated herein by reference in their entireties.

US Referenced Citations (242)
Number Name Date Kind
4234362 Riseman Nov 1980 A
4419809 Riseman et al. Dec 1983 A
4432132 Kinsbron et al. Feb 1984 A
4502914 Trumpp et al. Mar 1985 A
4508579 Goth et al. Apr 1985 A
4570325 Higuchi Feb 1986 A
4648937 Ogura et al. Mar 1987 A
4716131 Okazawa et al. Dec 1987 A
4776922 Bhattacharyya et al. Oct 1988 A
4838991 Cote et al. Jun 1989 A
4855804 Bergami et al. Aug 1989 A
4984039 Douglas Jan 1991 A
5013680 Lowrey et al. May 1991 A
5047117 Roberts Sep 1991 A
5053105 Fox, III Oct 1991 A
5087586 Chan et al. Feb 1992 A
5117027 Bernhardt et al. May 1992 A
5229316 Lee et al. Jul 1993 A
5315142 Acovic et al. May 1994 A
5319753 MacKenna et al. Jun 1994 A
5328810 Lowrey et al. Jul 1994 A
5330879 Dennison Jul 1994 A
5398205 Yamaguchi Mar 1995 A
5408116 Tanaka et al. Apr 1995 A
5447884 Fahey et al. Sep 1995 A
5470661 Bailey et al. Nov 1995 A
5492858 Bose et al. Feb 1996 A
5502320 Yamada Mar 1996 A
5514885 Myrick May 1996 A
5583065 Miwa Dec 1996 A
5670794 Manning Sep 1997 A
5679591 Lin et al. Oct 1997 A
5702976 Schuegraf et al. Dec 1997 A
5753546 Koh et al. May 1998 A
5789320 Andricacos et al. Aug 1998 A
5795830 Cronin et al. Aug 1998 A
5869384 Yu et al. Feb 1999 A
5899746 Mukai May 1999 A
5905285 Gardner et al. May 1999 A
5926717 Michael et al. Jul 1999 A
5998256 Juengling Dec 1999 A
6004862 Kim et al. Dec 1999 A
6010946 Hisamune et al. Jan 2000 A
6027982 Peidous et al. Feb 2000 A
6037238 Chang et al. Mar 2000 A
6042998 Brueck et al. Mar 2000 A
6046487 Benedict et al. Apr 2000 A
6057573 Kirsch et al. May 2000 A
6063688 Doyle et al. May 2000 A
6071789 Yang et al. Jun 2000 A
6074931 Chang et al. Jun 2000 A
6110837 Linliu et al. Aug 2000 A
6150688 Maeda et al. Nov 2000 A
6211044 Xiang et al. Apr 2001 B1
6229169 Hofmann et al. May 2001 B1
6265285 Tseng Jul 2001 B1
6282113 Debrosse Aug 2001 B1
6288454 Allman et al. Sep 2001 B1
6291334 Somekh Sep 2001 B1
6297127 Chen et al. Oct 2001 B1
6297554 Lin Oct 2001 B1
6335257 Tseng Jan 2002 B1
6348380 Weimer et al. Feb 2002 B1
6362057 Taylor et al. Mar 2002 B1
6372602 Mitsuiki Apr 2002 B1
6383907 Hasegawa et al. May 2002 B1
6395613 Juengling May 2002 B1
6404056 Kuge et al. Jun 2002 B1
6423474 Holscher Jul 2002 B1
6455372 Weimer Sep 2002 B1
6461937 Kim et al. Oct 2002 B1
6468887 Iwasa et al. Oct 2002 B2
6475867 Hui et al. Nov 2002 B1
6475875 Hau et al. Nov 2002 B1
6500726 Lee et al. Dec 2002 B2
6500763 Kim et al. Dec 2002 B2
6514884 Maeda Feb 2003 B2
6518148 Cheng et al. Feb 2003 B1
6522584 Chen et al. Feb 2003 B1
6534243 Templeton Mar 2003 B1
6548396 Naik et al. Apr 2003 B2
6551878 Clampitt et al. Apr 2003 B2
6558756 Sugahara et al. May 2003 B2
6559017 Brown et al. May 2003 B1
6566280 Meagley et al. May 2003 B1
6573030 Fairbairn et al. Jun 2003 B1
6576558 Lin et al. Jun 2003 B1
6597203 Forbes Jul 2003 B2
6599684 Reynolds et al. Jul 2003 B2
6602779 Li et al. Aug 2003 B1
6627933 Juengling Sep 2003 B2
6632741 Clevenger et al. Oct 2003 B1
6638441 Chang et al. Oct 2003 B2
6657276 Karlsson et al. Dec 2003 B1
6667237 Metzler Dec 2003 B1
6673684 Huang et al. Jan 2004 B1
6686245 Mathew et al. Feb 2004 B1
6689695 Lui et al. Feb 2004 B1
6693026 Kim Feb 2004 B2
6693324 Maegawa et al. Feb 2004 B2
6699799 Ahn et al. Mar 2004 B2
6706571 Yu et al. Mar 2004 B1
6707092 Sasaki Mar 2004 B2
6709807 Hallock et al. Mar 2004 B2
6713392 Ngo et al. Mar 2004 B1
6717231 Kim et al. Apr 2004 B2
6734063 Willer et al. May 2004 B2
6734107 Lai et al. May 2004 B2
6737333 Chen et al. May 2004 B2
6744094 Forbes Jun 2004 B2
6756284 Sharma Jun 2004 B2
6764949 Bonser et al. Jul 2004 B2
6768663 Ogata Jul 2004 B2
6773998 Fisher et al. Aug 2004 B1
6777725 Willer et al. Aug 2004 B2
6794699 Bissey et al. Sep 2004 B2
6800930 Jackson et al. Oct 2004 B2
6835662 Erhardt et al. Dec 2004 B1
6835663 Lipinski Dec 2004 B2
6846618 Hsu et al. Jan 2005 B2
6867116 Chung Mar 2005 B1
6875703 Furukawa et al. Apr 2005 B1
6881627 Forbes et al. Apr 2005 B2
6882006 Maeda et al. Apr 2005 B2
6888187 Brown et al. May 2005 B2
6890812 Forbes et al. May 2005 B2
6890858 Juengling et al. May 2005 B2
6893972 Rottstegge et al. May 2005 B2
6900521 Forbes et al. May 2005 B2
6924191 Liu et al. Aug 2005 B2
6936507 Tang et al. Aug 2005 B2
6939808 Tzou et al. Sep 2005 B2
6951709 Li Oct 2005 B2
6956276 Hokazono Oct 2005 B2
6962867 Jackson et al. Nov 2005 B2
7005240 Manger et al. Feb 2006 B2
7015124 Fisher et al. Mar 2006 B1
7074668 Park et al. Jul 2006 B1
7084076 Park et al. Aug 2006 B2
7098105 Juengling Aug 2006 B2
7105431 Yin et al. Sep 2006 B2
7109544 Schloesser et al. Sep 2006 B2
7115525 Abatchev et al. Oct 2006 B2
7119020 Okamura et al. Oct 2006 B2
7151040 Tran et al. Dec 2006 B2
7176104 Chen et al. Feb 2007 B1
7183597 Doyle Feb 2007 B2
7208379 Venugopal et al. Apr 2007 B2
7288445 Bryant et al. Oct 2007 B2
7291560 Parascandola et al. Nov 2007 B2
7391071 Juengling Jun 2008 B2
7393789 Abatchev et al. Jul 2008 B2
7413981 Tang et al. Aug 2008 B2
7442976 Juengling Oct 2008 B2
7476933 Juengling Jan 2009 B2
7736980 Juengling Jun 2010 B2
7824983 Juengling Nov 2010 B2
7842558 Juengling Nov 2010 B2
8101497 Juengling et al. Jan 2012 B2
8343875 Juengling et al. Jan 2013 B2
20020001960 Wu et al. Jan 2002 A1
20020022326 Kunikiyo Feb 2002 A1
20020042198 Bjarnason et al. Apr 2002 A1
20020063110 Cantell et al. May 2002 A1
20020064937 Kim et al. May 2002 A1
20020125536 Iwasa et al. Sep 2002 A1
20020130348 Tran Sep 2002 A1
20020130686 Forbes Sep 2002 A1
20020135025 Park Sep 2002 A1
20020135029 Ping et al. Sep 2002 A1
20020137306 Chen Sep 2002 A1
20020158273 Satoh et al. Oct 2002 A1
20030006410 Doyle Jan 2003 A1
20030008461 Forbes et al. Jan 2003 A1
20030022522 Nishiyama et al. Jan 2003 A1
20030040186 Juengling et al. Feb 2003 A1
20030042542 Maegawa et al. Mar 2003 A1
20030044722 Hsu et al. Mar 2003 A1
20030085422 Amali et al. May 2003 A1
20030109102 Kujirai et al. Jun 2003 A1
20030119307 Bekiaris et al. Jun 2003 A1
20030129001 Kisu et al. Jul 2003 A1
20030157436 Manger et al. Aug 2003 A1
20030207207 Li Nov 2003 A1
20030218199 Forbes et al. Nov 2003 A1
20030230234 Nam et al. Dec 2003 A1
20040000534 Lipinski Jan 2004 A1
20040023475 Bonser et al. Feb 2004 A1
20040023502 Tzou et al. Feb 2004 A1
20040036095 Brown et al. Feb 2004 A1
20040043623 Liu et al. Mar 2004 A1
20040053475 Sharma Mar 2004 A1
20040099928 Nunan et al. May 2004 A1
20040106257 Okamura et al. Jun 2004 A1
20050048714 Noble Mar 2005 A1
20050074949 Jung et al. Apr 2005 A1
20050079662 Miki Apr 2005 A1
20050112886 Asakawa et al. May 2005 A1
20050151206 Schwerin Jul 2005 A1
20050153562 Furukawa et al. Jul 2005 A1
20050167394 Liu et al. Aug 2005 A1
20050207264 Hsieh et al. Sep 2005 A1
20050272259 Hong Dec 2005 A1
20050277249 Juengling Dec 2005 A1
20060003182 Lane et al. Jan 2006 A1
20060022248 Fischer et al. Feb 2006 A1
20060024945 Kim et al. Feb 2006 A1
20060028859 Forbes Feb 2006 A1
20060046200 Abatchev et al. Mar 2006 A1
20060046201 Sandhu et al. Mar 2006 A1
20060046407 Juengling Mar 2006 A1
20060046422 Tran et al. Mar 2006 A1
20060083996 Kim Apr 2006 A1
20060172540 Marks et al. Aug 2006 A1
20060211260 Tran et al. Sep 2006 A1
20060216293 Couto et al. Sep 2006 A1
20060216923 Tran et al. Sep 2006 A1
20060231900 Lee et al. Oct 2006 A1
20060258109 Juengling Nov 2006 A1
20060263699 Abatchev et al. Nov 2006 A1
20060267075 Sandhu et al. Nov 2006 A1
20060273456 Sant et al. Dec 2006 A1
20060278911 Eppich Dec 2006 A1
20060281266 Wells Dec 2006 A1
20070026672 Tang et al. Feb 2007 A1
20070045712 Haller et al. Mar 2007 A1
20070048674 Wells Mar 2007 A1
20070049011 Tran Mar 2007 A1
20070049030 Sandhu et al. Mar 2007 A1
20070049032 Abatchev et al. Mar 2007 A1
20070049035 Tran Mar 2007 A1
20070049040 Bai et al. Mar 2007 A1
20070050748 Juengling Mar 2007 A1
20070145450 Wang et al. Jun 2007 A1
20070210449 Caspary et al. Sep 2007 A1
20070215960 Zhu et al. Sep 2007 A1
20070218645 Zhou Sep 2007 A1
20070275309 Liu Nov 2007 A1
20080085612 Smythe et al. Apr 2008 A1
20090117705 Oh May 2009 A1
20100230733 Juengling Sep 2010 A1
20110042755 Juengling Feb 2011 A1
Foreign Referenced Citations (22)
Number Date Country
101044615 Sep 2007 CN
280 851 Jul 1990 DE
42 36 609 May 1994 DE
44 08 764 Sep 1994 DE
199 28 781 Jul 2000 DE
0 227 303 Jul 1987 EP
0 491 408 Jun 1992 EP
1 357 433 Oct 2003 EP
05343370 Dec 1993 JP
H8-55908 Feb 1996 JP
H8-55920 Feb 1996 JP
2000-323563 Nov 2000 JP
WO 9415261 Jul 1994 WO
WO 0101489 Jan 2001 WO
WO 02099864 Dec 2002 WO
WO 2004001799 Dec 2003 WO
WO 2004003977 Jan 2004 WO
WO 2004073044 Aug 2004 WO
WO 2005010973 Feb 2005 WO
WO 2005034215 Apr 2005 WO
WO 2005119741 Dec 2005 WO
WO 2006026699 Mar 2006 WO
Non-Patent Literature Citations (30)
Entry
Advertisement entitled “Polysilazane SODs Spinfill™ 400 Series for STI/PMD Application,” (Undated).
Bergeron, et al., “Resolution Enhancement Techniques for the 90nm Technology Node and Beyond”, Future Fab International, Issue 15, 4 pages.
Bhave et al., “Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process”, preprint of Proceedings of SPIE: Advances in Resist Technology and Processing XXI, vol. 5376, John L. Sturtevant, editor, 2004, 8 pages.
Bruek, S.R.J., “Optical and interferometric lithography—Nanotechnology enablers,” Proceedings of the IEEE, vol. 93, No. 10, Oct. 2005, pp. 1704-1721.
Choi et al., “Sublithographic nanofabrication technology for nanocatalysts and DNA chips,” J. Vac. Sci. Technol., Nov./Dec. 2003; pp. 2951-2955.
Chung et al., “Nanoscale Multi-Line Patterning Using Sidewall Structure”, Jpn., J.App.. Phys. vol. 41 (2002) Pt. 1, No. 6B, pp. 4410-4414.
Chung et al., “Pattern multiplication method and the uniformity of nanoscale multiple lines”, J. Vac.Sci. Technol. B21(4), Jul./Aug. 2003, pp. 1491-1495.
Written Opinion and International Search Report; PCT/US2005/017156.
Ex parte Cantell, unpublished decision of the Board of Patent Appeals and Interferences, Mar. 4, 2005.
International Search Report and Written Opinion in International Application PCT/US2005/030677.
Joubert et al., “Nanometer scale linewidth control during etching of polysilicon gates in high-density plasmas”, Microelectronic Engineering 69 (2003), pp. 350-357.
Lim, B., Nature vol. 2, Nov. (2003) pp. 749-753.
Oehrlein et al., “Pattern transfer into low dielectic materials by high-density plasma etching”, Solid State Tech., May 2000, 8 pages.
Office Action dated Apr. 25, 2013 for Chinese Patent Application 200980135752.6.
Peters, Laura, “Choices and challenges for shallow trench isolation,” Semiconductor International, Website www.reed-electronics.com, Apr. 1, 1999, 6 pages.
Sakao M. et al., “A Straight-Line-Trench Isolation and Trench-Gate Transistor (SLIT) Cell for Giga-bit DRAMs”, Proceedings of IEEE VLSI Technology Symposium May 17-19, 1993 Kyoto, Japan, May 17, 1993, pp. 19-20.
Search Report and Written Opinion of Sep. 13, 2012 for Singaporean Patent Application No. 201101676-3, in 13 pages.
Schloesser et al., “Highly Scalable Sub-50nm Vertical Double Gate Trench DRAM Cell”, Memory Development Center, Infineon Tech., 2004, 4 pages.
Sheats et al., “Microlithography: Science and Technology,” Marcel Dekker, Inc., pp. 104-105 (1998).
U.S. Office Action issued Jan. 24, 2008 in U.S. Appl. No. 11/490,294.
U.S. Office Action issued Jan. 29, 2008 in U.S. Appl. No. 11/367,020.
U.S. Office Action Issued Feb. 26, 2008 in U.S. Appl. No. 11/366,212.
U.S. Office Action issued Jun. 2, 2008 in U.S. Appl. No. 11/219,067.
U.S. Office Action issued Jun. 5, 2008 in U.S. Appl. No. 11/514,117.
U.S. Office Action issued Jul. 11, 2008 in U.S. Appl. No. 11/367,020.
U.S. Office Action issued Dec. 9, 2010 in U.S. Appl. No. 12/209,117.
U.S. Office Action issued May 27, 2011 in U.S. Appl. No. 12/209,117.
U.S. Office Action issued May 14, 2012 in U.S. Appl. No. 13/347,478.
Yan R-H et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices USA; vol. 39, No. 7, Jul. 1992, pp. 1704-1710.
International Search and Written Opinion, PCT Application PCT/US2009/053881, mailed Feb. 11, 2010, 12 pages.
Related Publications (1)
Number Date Country
20140030869 A1 Jan 2014 US
Divisions (1)
Number Date Country
Parent 12209117 Sep 2008 US
Child 13347478 US
Continuations (2)
Number Date Country
Parent 13725384 Dec 2012 US
Child 14038365 US
Parent 13347478 Jan 2012 US
Child 13725384 US