As the semiconductor industry introduces new generations of integrated circuits (IC) having higher performance and more functionality, the density of the elements forming the ICs increases, while the dimensions, sizes and spacing between components or elements are reduced. The semiconductor industry continues to improve the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continual reductions in minimum feature size, which allow more components to be integrated into a given area.
For manufacturing different conductive layers on the substrate, the self-aligned contact (SAC) process may be utilized to avoid misalignment. However, the integrated fabrication also brings out some issues, such as reliability, high capacitance, or high resistance. Therefore, there is a need in the art to provide improved devices or methods that can address the issues mentioned above.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “over,” “on,” “top,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Substrate 601 may be a semiconductor substrate. In some embodiments, substrate 601 includes a single crystalline semiconductor layer on at least the surface of substrate 601. Substrate 601 may include a single crystalline semiconductor material such as, but not limited to silicon (Si), germanium (Ge), silicon germanium (SiGe), gallium arsenide (GaAs), indium antimonide (InSb), gallium phosphide (GaP), gallium antimonide (GaSb), indium aluminum arsenide (InAlAs), indium gallium arsenide (InGaAs), gallium antimony phosphide (GaSbP), gallium arsenic antimonide (GaAsSb), and indium phosphide (InP). In some embodiment, substrate 601 is made of Si. In some embodiments, substrate 601 is a silicon-on-insulator (SOI) substrate, which includes an insulating layer (not shown) disposed between two silicon layers. In one aspect, the insulating layer is an oxygen-containing material, such as an oxide.
Substrate 601 may include various regions that have been suitably doped with impurities (e.g., p-type or n-type impurities). The dopants are, for example phosphorus for an n-type fin field effect transistor (FinFET) and boron for a p-type FinFET.
As described above, devices 602 may be any suitable devices, such as transistors, diodes, imaging sensors, resistors, capacitors, inductors, memory cells, or a combination thereof. In some embodiments, devices 602 are transistors, such as planar field effect transistors (FETs), FinFETs, nanostructure transistors, or other suitable transistors. The nanostructure transistors may include nanosheet transistors, nanowire transistors, gate-all-around (GAA) transistors, multi-bridge channel (MBC) transistors, or any transistors having the gate electrode partially or fully surrounding the channels. An example of device 602 formed between substrate 601 and the interconnection structures (such as the interconnection structure 200 shown in
S/D regions 604 may include a semiconductor material, such as Si or Ge, a III-V compound semiconductor, an II-VI compound semiconductor, or other suitable semiconductor material. Exemplary S/D region 604 may include, but are not limited to, Ge, SiGe, GaAs, AlGaAs, GaAsP, SiP, InAs, AlAs, InP, GaN, InGaAs, InAlAs, GaSb, AlP, GaP, and the like. S/D regions 604 may include p-type dopants, such as boron; n-type dopants, such as phosphorus or arsenic; and/or other suitable dopants including combinations thereof. S/D regions 604 may be formed by an epitaxial growth method using CVD, atomic layer deposition (ALD) or molecular beam epitaxy (MBE). Channel regions 608 may include one or more semiconductor materials, such as Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP, or InP. In some embodiments, channel regions 608 include the same semiconductor material as substrate 601. In some embodiments, devices 602 are FinFETs, and channel regions 608 are a plurality of fins each having at least three surfaces wrapped around by the gate stack 606. In some other embodiments, devices 602 are nanosheet transistors, and channel regions 608 are surrounded by gate stack 606.
Each gate stack 606 includes a gate electrode layer 610 disposed over channel region 608 or partially/fully surrounding channel region 608. Gate electrode layer 610 may be a metal-containing material such as tungsten, cobalt, aluminum, ruthenium, copper, multilayers thereof, or the like, and can be deposited by ALD, plasma enhanced chemical vapor deposition (PECVD), MBD, physical vapor deposition (PVD), or any suitable deposition technique. Each gate stack 606 may include an interfacial dielectric layer 612, a gate dielectric layer 614 disposed on interfacial dielectric layer 612, and one or more conformal layers 616 disposed on gate dielectric layer 614. Gate electrode layer 610 may be disposed on conformal layers 616. Interfacial dielectric layer 612 may include a dielectric material, such as an oxygen-containing material or a nitrogen-containing material, or multilayers thereof, and may be formed by any suitable deposition method, such as CVD, PECVD, or ALD. Gate dielectric layer 614 may include a dielectric material such as an oxygen-containing material or a nitrogen-containing material, a high-k dielectric material having a k value greater than that of silicon dioxide, or multilayers thereof. Gate dielectric layer 614 may be formed by any suitable method, such as CVD, PECVD, or ALD. Conformal layers 616 may include one or more barrier layers and/or capping layers, such as a nitrogen-containing material, for example tantalum nitride (TaN), titanium nitride (TiN), or the like. Conformal layers 616 may further include one or more work-function layers, such as aluminum titanium carbide, aluminum titanium oxide, aluminum titanium nitride, or the like. The term “conformal” may be used herein for ease of description upon a layer having substantial same thickness over various regions. Conformal layers 616 may be deposited by ALD, PECVD, MBD, or any suitable deposition technique.
One or more gate spacers 618 are formed along sidewalls of gate stack 606 (e.g., sidewalls of gate dielectric layers 614). Gate spacers 618 may include silicon oxycarbide, silicon nitride, silicon oxynitride, silicon carbon nitride, the like, multi-layers thereof, or a combination thereof, and may be deposited by CVD, PVD, ALD, or other suitable deposition technique.
Portions of gate stacks 606 and gate spacers 618 may be formed on isolation regions 603. Isolation regions 603 are formed on substrate 601. Isolation regions 603 may include an insulating material such as an oxygen-containing material, a nitrogen-containing material, or a combination thereof. The insulating material may be formed by a high-density plasma chemical vapor deposition (HDP-CVD), a flowable chemical vapor deposition (FCVD), or other suitable deposition process. In one aspect, isolation regions 603 includes silicon oxide that is formed by a FCVD process.
A contact etch stop layer (CESL) 624 is formed on a portion of S/D regions 604 and isolation region 603, and a first interlayer dielectric (ILD) 626 is formed on CESL 624. CESL 624 can provide a mechanism to stop an etch process when forming openings in first ILD 626. CESL 624 may be conformally deposited on surfaces of S/D regions 604 and isolation regions 603. CESL 624 may include an oxygen-containing material or a nitrogen-containing material, such as silicon nitride, silicon carbon nitride, silicon oxynitride, carbon nitride, silicon oxide, silicon carbon oxide, or the like, or a combination thereof, and may be deposited by CVD, PECVD, PVD, ALD, or any suitable deposition technique. First ILD 626 may include an oxide formed by tetraethylorthosilicate (TEOS), un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), organosilicate glass (OSG), SiOC, and/or any suitable low-k dielectric materials (e.g., a material having a dielectric constant lower than silicon dioxide), and may be deposited by spin-on, CVD, FCVD, PECVD, PVD, or any suitable deposition technique.
A silicide layer 620 is formed on at least a portion of each S/D region 604, as shown in
As shown in
In some embodiments, first dielectric layer 106 may include or be made of SiOx, SiOxCyHz, SiOxCy, SiCx, or related low-k materials in amorphous state. The k value of first dielectric layer 106 may be in the range between 1.0-5.0. In some embodiments, first dielectric layer 106 may include or be made of SiOx, SiOxCyHz, SiOxCy, SiCx, or related low-k materials with ordered pores. The term “ordered pores” used herein refers to air-filled voids or air gaps having a predefined arrangement formed in a dielectric material. First dielectric layer 106 with ordered pores has the characteristics of low dielectric constant and high mechanical strength. In some embodiments, first dielectric layer 106 may be formed in the temperature between 425 degrees Celsius and 150 degrees Celsius by CVD, PVD, ALD, spin coating, or other suitable processes. In some embodiments, first dielectric layer 106 may be formed with or without additional anneal or ultraviolet (UV) curing process. In some embodiments, conductive feature 102 and conductive feature 104 may include Cu, Ni, Co, Ru, Ir, Al, Pt, Pd, Au, Ag, Os, W, Mo and related alloys. In some embodiments, conductive feature 102 and conductive feature 104 may be formed in the temperature between 425 degrees Celsius and 150 degrees Celsius by ALD, CVD, PVD, electroless deposition (ELD), ECP, or other suitable processes.
In some embodiments, a barrier layer 112 may be formed between first dielectric layer 106 and conductive feature 102 and between first dielectric layer 106 and conductive feature 104. In some embodiments, in operation 802, conductive feature 102 and conductive feature 104 may be formed in first dielectric layer 106 by dual damascene, single damascene, semi damascene, or other suitable processes. By using the single damascene process as an example, ESL 108, ESL 110, and first dielectric layer 106 are sequentially deposited and etched to form the openings according to the predefined patterns. Barrier layer 112 is then deposited in the openings, and a conductive material, such as Cu, is deposited on barrier layer 112. The deposition of the conductive material on barrier layer 112 in the openings may include forming a seed layer on barrier layer 112 by PVD process and then forming the conductive material on the seed layer by electrodeposition process. The top surface of the conductive material is then planarized so that top surfaces of conductive feature 102 and conductive feature 104, barrier layer 112, and first dielectric layer 106 are substantially co-planar.
As shown in
As shown in
As shown in
For example, the H2 plasma may change the surface functionalities of sacrificial layer 116 by reducing the number of unwanted hydroxyl groups on the surface of the carbon-containing layer and providing strong chemical modification of the carbon-containing layer via surface hydrogenation. The treated surface of the carbon-containing layer leads to a delay in the nucleation of second capping layer 120. For example, during the deposition of second capping layer 120, HfOx, or TiOx may be easier to bond with first capping layer 114, e.g., SiNx, than with the treated surface of the carbon-containing layer in the amorphous state. Hence, the pre-treatment process can assist in selective deposition of second capping layer 120 on first capping layer 114.
As shown in
As shown in operation 814 in
A liner layer 126 may be formed on sidewalls of opening 124 and on second dielectric layer 122. In some embodiments, liner layer 126 may include HfOx or other suitable materials. In some embodiments, liner layer 126 may be formed in the temperature between 450 degrees Celsius and 150 degrees Celsius by CVD, PVD, ALD or other suitable processes. Before forming liner layer 126, a pre-treatment process, such as H2 plasma treatment discussed above, may be performed on second dielectric layer 122 and conductive feature 104. By performing the H2 plasma treatment, the surface of second dielectric layer 122, e.g., SiOx, SiOxCyHz, SiOxCy or SiCx, and conductive feature 104, e.g., Cu, Ni, Co, Ru, Ir, Al, Pt, Pd, Au, Ag, Os, W or Mo, may have different deposition selectivity to liner layer 126, e.g., HfOx. Therefore, liner layer 126 is formed on sidewalls of opening 124 and on second dielectric layer 122, but not formed on conductive feature 104 (or hardly formed on conductive feature 104), as shown in
As shown in
In some embodiments, conductive feature 134 may be formed by dual damascene, single damascene, semi damascene, or other suitable processes. In some embodiments, conductive feature 134 may be formed by semi damascene process. In some embodiments, second dielectric layer 122 is patterned to form opening 124 and opening 124 is then filled with first conductive layer 128, e.g., Ru. First conductive layer 128 is overfilled in opening 124 and on second dielectric layer 122, which means the deposition of first conductive layer 128 continues until a layer of first conductive layer 128 is formed over second dielectric layer 122. Then, first conductive layer 128 is masked and etched to form conductive feature 134. For example, a mask may be disposed on first conductive layer 128 above conductive feature 104 and exposing first conductive layer 128 above conductive feature 102. Then, an etch operation is performed to remove first conductive layer 128 and liner layer 126 not covered by the mask and form conductive feature 134 on conductive feature 104. Conductive feature 134 may include a via structure in opening 124 and a conductive line on the via structure. In some embodiments, the via structure and the conductive line may be formed by the same material, as shown in
As shown in
By forming conductive feature 134 on conductive feature 104 with the operations described above, a self-aligned interconnection structure can be formed without using self-assembled monolayer (SAM) process. SAM process is often used in self-alignment patterning process for the interconnection structure manufacturing process to prevent conductive materials from accumulating outside of the metal interconnect layer areas. However, using SAM process to form the self-aligned interconnection structure may have SAM residue remain on metal lines and/or unwanted reaction between SAM and subsequent deposition precursor(s), such as precursors for forming etch stop layers. By forming conductive feature 134 on conductive feature 104 with the operations described above without SAM process, the issues of using SAM process to form the self-aligned interconnection structure can be avoided, the reliability of the self-aligned interconnection structure can be improved, and the resistance of the interconnection structure can be reduced.
In addition, the self-aligned interconnection structure is achieved by performing the substrate modification, e.g., the H2 plasma pre-treatment, before the selective deposition. The voids in the via metal gap can be prevented, and the resistance of the via can be reduced. Furthermore, there is no ESL needed between first dielectric layer 106 and second dielectric layer 122. In other words, first dielectric layer 106 is in direct contact with second dielectric layer 122. Since ESL has high dielectric constant, the interconnection structure without ESL can lower the capacitance.
Furthermore, first dielectric layer 106 and second dielectric layer 122 may include or be made of dielectric materials with ordered pores that have the characteristics of low dielectric constant and high mechanical strength. Hence, the k-value of first dielectric layer 106 and second dielectric layer 122 can be reduced, and the interconnection structure can also lower the capacitance. Using dielectric materials with ordered pores is advantageous in cases where misalignment occurs. For example, in some embodiments, when opening 118 is misaligned with conductive feature 102, because of the material of first dielectric layer 106 is low-k material with ordered pores that has high mechanical strength, the removal process of sacrificial layer 116 will not damage or hardly damage first dielectric layer 106.
As shown in
As shown in
As shown in
Then, as shown in
As shown in
As shown in
As shown in
As shown in
In some embodiments, second dielectric layer 122 may include SiOx, SiOxCyHz, SiOxCy, SiCx, or related low-k materials with ordered pores. The k value of second dielectric layer 122 may be in the range between 1.0-3.0. In some embodiments, second dielectric layer 122 may be formed in the temperature between 425 degrees Celsius and 150 degrees Celsius by CVD, PVD, ALD, spin coating, or other suitable processes. In some embodiments, second dielectric layer 122 may be formed with or without additional anneal or UV curing process. In some embodiments, first dielectric layer 106 and second dielectric layer 122 may be formed by the same material.
As shown in
Before forming liner layer 126, a pre-treatment process, such as a H2 plasma treatment discussed above, may be performed on second dielectric layer 122 and fourth capping layer 150. The pre-treatment process may be performed by exposing the interconnection structure 600 to a H2 plasma formed from hydrogen-containing precursor, such as hydrogen, ammonia, hydrocarbons, or the like, or any combination thereof. For example, the H2 plasma may change the surface functionalities of fourth capping layer 150 by reducing the number of unwanted hydroxyl groups on the graphene surface and providing strong chemical modification of the graphene via surface hydrogenation. The treated graphene surface hydrophobicity leads to a delay in the nucleation of liner layer 126. For example, during the deposition of liner layer 126, HfOx may be easier to bond with second dielectric layer 122 than with the treated surface of graphene. Hence, the pre-treatment process can assist in selective deposition of liner layer 126 on second dielectric layer 122. By performing the H2 plasma treatment, the surface of second dielectric layer 122, e.g., SiOx, SiOxCyHz, SiOxCy or SiCx, and fourth capping layer 150, e.g., 2D material, may have different deposition selectivity of liner layer 126, e.g., HfOx. Therefore, liner layer 126 is formed on sidewalls of opening 124 and on second dielectric layer 122, but not formed on fourth capping layer 150, as shown in
As shown in
In some embodiments, conductive feature 134 may be formed by dual damascene, single damascene, semi damascene, or other suitable processes. In some embodiments, conductive feature 134 may be formed by semi damascene process. In some embodiments, second dielectric layer 122 is patterned to form opening 124 and opening 124 is then filled with first conductive layer 128, e.g., Ru. First conductive layer 128 is overfilled in opening 124 and on second dielectric layer 122, which means the deposition of first conductive layer 128 continues until a layer of first conductive layer 128 is formed over second dielectric layer 122. Then, first conductive layer 128 is masked and etched to form conductive feature 134. Conductive feature 134 may include a via structure in opening 124 and a conductive line on the via structure. In some embodiments, the via structure and the conductive line may be formed by the same material, as shown in
As shown in
As shown in
As shown in
By forming conductive feature 134 on conductive feature 104 with the operations described above, a self-aligned interconnection structure can be formed without using SAM process. By forming conductive feature 134 on conductive feature 104 with the operations described above without SAM process, the issues (e.g., SAM residues remain on metal lines and/or unwanted reaction between SAM and subsequent deposition precursor) of using SAM process to form the self-aligned interconnection structure can be avoided, the reliability of the self-aligned interconnection structure can be improved, and the resistance of the interconnection structure can be reduced.
In addition, the self-aligned interconnection structure is achieved by performing the substrate modification, e.g., the H2 plasma treatment, before the selective deposition. The plasma treatment can improve the selectivity of the selective deposition to perform the self-alignment patterning operations. Furthermore, there is no ESL needed between first dielectric layer 106 and second dielectric layer 122. In other words, first dielectric layer 106 is in direct contact with second dielectric layer 122. Since ESL has high dielectric constant, the interconnection structure without ESL can lower the capacitance.
Furthermore, first dielectric layer 106 and second dielectric layer 122 may include or be made of dielectric materials with ordered pores that have the characteristics of low dielectric constant and high mechanical strength. Hence, the k-value of first dielectric layer 106 and second dielectric layer 122 can be reduced, and the interconnection structure can also lower the capacitance.
Interconnection structure 600 or 700 has a further advantage by using the 2D material to form the capping layer between the conductive features. The 2D material, fourth capping layer 150, has a great barrier characteristic to prevent the metal diffusion from conductive feature 102 to second dielectric layer 122. Since the 2D material also has a characteristic of low resistance, fourth capping layer 150 between conductive feature 104 and first conductive layer 128 does not need to be removed. As a result, the process of forming the interconnection structure can be further simplified.
An embodiment is an interconnection structure. The interconnection structure includes a first dielectric layer, a first conductive feature disposed in the first dielectric layer, a second dielectric layer on the first dielectric layer, a conductive layer disposed in the second dielectric layer, and a liner layer disposed between the conductive layer and the second dielectric layer, wherein the liner layer has a portion extended over to a top surface of the second dielectric layer. The structure also includes a third dielectric layer on the second dielectric layer, a second conductive feature disposed in the third dielectric layer, wherein the second conductive feature has a portion in direct contact with the conductive layer. The structure further includes a first capping layer disposed between the second conductive feature and the third dielectric layer, and a portion of the first capping layer is extended to cover a top surface of the second conductive feature.
Another embodiment is an interconnection structure. The interconnection structure includes a first dielectric layer, a first conductive feature disposed in the first dielectric layer, a second dielectric layer on the first dielectric layer, a conductive layer disposed in the second dielectric layer, a liner layer disposed between the conductive layer and the second dielectric layer, and a first capping layer disposed between and in contact with the first conductive feature and the conductive layer, wherein the first capping layer comprises a two-dimensional (2D) material, and a portion of the first capping layer is in contact with the liner layer. The structure also includes a third dielectric layer on the second dielectric layer, a second conductive feature disposed in the third dielectric layer, and a second capping layer disposed between the second conductive feature and the third dielectric layer.
A further embodiment is a method for forming an interconnection structure. The method includes forming a first conductive feature and a second conductive feature in a first dielectric layer, forming a first capping layer on top surfaces of the first conductive feature and the second conductive feature, forming a sacrificial layer over the first dielectric layer and the first capping layer, removing a portion of the sacrificial layer to form a first opening in the sacrificial layer, wherein the first opening exposes the first capping layer above the second conductive feature. The method also includes after forming the first opening, forming a second capping layer on the first capping layer above the second conductive feature, removing the sacrificial layer, forming a second dielectric layer over the first dielectric layer, the second dielectric layer covering exposed surfaces of the first and second capping layers. The method also includes removing a portion of the second dielectric layer and the first capping layer to form a second opening in the second dielectric layer, wherein the second opening exposes the top surface of the first conductive feature. The method further includes forming a liner layer on sidewalls of the second opening and on a top surface of the second dielectric layer, and forming a first conductive layer on the liner layer in the second opening and over the top surface of the first conductive feature.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
This application is a continuation application of U.S. patent application Ser. No. 17/401,961 filed Aug. 13, 2021, which claims priority to U.S. Provisional Application Ser. No. 63/178,689 filed Apr. 23, 2021, which are incorporated by reference in their entirety.
Number | Date | Country | |
---|---|---|---|
63178689 | Apr 2021 | US |
Number | Date | Country | |
---|---|---|---|
Parent | 17401961 | Aug 2021 | US |
Child | 18789733 | US |