Semiconductor processing methods, semiconductor circuitry, and gate stacks

Information

  • Patent Grant
  • 6461950
  • Patent Number
    6,461,950
  • Date Filed
    Wednesday, May 30, 2001
    23 years ago
  • Date Issued
    Tuesday, October 8, 2002
    21 years ago
Abstract
In one aspect, the invention includes a semiconductor processing method comprising a) forming a metal silicide layer over a substrate; b) depositing a layer comprising silicon, nitrogen and oxygen over the metal silicide layer; and c) while the layer comprising silicon, nitrogen and oxygen is over the metal silicide layer, annealing the metal silicide layer. In another aspect, the invention includes a gate stack forming method, comprising a) forming a polysilicon layer over a substrate; b) forming a metal silicide layer over the polysilicon layer; c) depositing an antireflective material layer over the metal silicide layer; d) forming a silicon nitride layer over the antireflective material layer; e) forming a layer of photoresist over the silicon nitride layer; f) photolithographically patterning the layer of photoresist to form a patterned masking layer from the layer of photoresist; and g) transferring a pattern from the patterned masking layer to the silicon nitride layer, antireflective material layer, metal silicide layer and polysilicon layer to pattern the silicon nitride layer, antireflective material layer, metal silicide layer and polysilicon layer into a gate stack. In yet other aspects, the invention encompasses circuitry and gate stacks.
Description




TECHNICAL FIELD




The invention pertains to methods of forming and utilizing antireflective materials. The invention also pertains to semiconductor processing methods of forming stacks of materials, such as, for example, gate stacks.




BACKGROUND OF THE INVENTION




Semiconductor processing methods frequently involve patterning layers of materials to form a transistor gate structure.

FIG. 1

illustrates a semiconductive wafer fragment


10


at a preliminary step of a prior art gate structure patterning process. Semiconductive wafer fragment


10


comprises a substrate


12


having a stack


14


of materials formed thereover. Substrate


12


can comprise, for example, monocrystalline silicon lightly doped with a p-type background dopant. To aid in interpretation of the claims that follow, the term “semiconductive substrate” is defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.




Stack


14


comprises a gate oxide layer


16


, a polysilicon layer


18


, a metal silicide layer


20


, an oxide layer


22


, a nitride layer


24


, an antireflective material layer


26


, and a photoresist layer


28


. Gate oxide layer


16


can comprise, for example, silicon dioxide, and forms an insulating layer between polysilicon layer


18


and substrate


12


. Polysilicon layer


18


can comprise, for example, conductively doped polysilicon, and will ultimately be patterned into a first conductive portion of a transistor gate.




Silicide layer


20


comprises a metal silicide, such as, for example, tungsten silicide or titanium silicide, and will ultimately comprise a second conductive portion of a transistor gate. Prior to utilization of silicide layer


20


as a conductive portion of a transistor gate, the silicide is typically subjected to an anneal to improve crystallinity and conductivity of the silicide material of layer


20


. Such anneal can comprise, for example, a temperature of from about 800° C. to about 900° C. for a time of about thirty minutes with a nitrogen (N


2


) purge.




If silicide layer


20


is exposed to gaseous forms of oxygen during the anneal, the silicide layer can become oxidized, which can adversely effect conductivity of the layer. Accordingly, oxide layer


22


is preferably provided over silicide layer


20


prior to the anneal. Oxide layer


22


can comprise, for example, silicon dioxide. Another purpose of having oxide layer


22


over silicide layer


20


is as an insulative layer to prevent electrical contact of silicide layer


20


with other conductive layers ultimately formed proximate silicide layer


20


.




Nitride layer


24


can comprise, for example, silicon nitride, and is provided to further electrically insulate conductive layers


18


and


20


from other conductive layers which may ultimately be formed proximate layers


18


and


20


. Nitride layer


24


is a thick layer (a typical thickness can be on the order of several hundred, or a few thousand Angstroms) and can create stress on underlying layers. Accordingly, another function of oxide layer


22


is to alleviate stress induced by nitride layer


24


on underlying layers


18


and


20


.




Antireflective material layer


26


can comprise, for example, an organic layer that is spun over nitride layer


24


. Alternatively, layer


26


can be a deposited inorganic antireflective material, such as, for example, Si


x


O


y


N


z


:H, wherein x is from 0.39 to 0.65, y is from 0.02 to 0.56, and z is from 0.05 to 0.33. In practice the layer can be substantially inorganic, with the term “substantially inorganic” indicating that the layer can contain a small amount of carbon (less than 1% by weight). Alternatively, if, for example, organic precursors are utilized, the layer can have greater than or equal to 1% carbon, by weight.




Photoresist layer


28


can comprise either a positive or a negative photoresist. Photoresist layer


28


is patterned by exposing the layer to light through a masked light source. The mask contains clear and opaque features defining a pattern to be created in photoresist layer


28


. Regions of photoresist layer


28


which are exposed to light are made either soluble or insoluble in a solvent. If the exposed regions are soluble, a positive image of the mask is produced in photoresist layer


28


and the resist is termed a positive photoresist. On the other hand, if the non-radiated regions are dissolved by the solvent, a negative image results, and the photoresist is referred to as a negative photoresist.




A difficulty that can occur when exposing photoresist layer


28


to radiation is that waves of the radiation can propagate through photoresist


28


to a layer beneath the photoresist and then be reflected back up through the photoresist to interact with other waves of the radiation which are propagating through the photoresist. The reflected waves can constructively and/or destructively interfere with the other waves to create periodic variations of light intensity within the photoresist. Such variations of light intensity can cause the photoresist to receive non-uniform doses of energy throughout its thickness. The non-uniform doses can decrease the accuracy and precision with which a masked pattern is transferred to the photoresist. Antireflective material


26


is provided to suppress waves from reflecting back into photoresist layer


28


. Antireflective layer


26


comprises materials which absorb and/or attenuate radiation and which therefore reduce or eliminate reflection of the radiation.





FIG. 2

shows semiconductive wafer fragment


10


after photoresist layer


28


is patterned by exposure to light and solvent to remove portions of layer


28


.




Referring to

FIG. 3

, a pattern from layer


28


is transferred to underlying layers


16


,


18


,


20


,


22


,


24


, and


26


to form a patterned stack


30


. Such transfer of a pattern from masking layer


28


can occur by a suitable etch, such as, for example, a plasma etch utilizing one or more of Cl, HBr, CF


4


, CH


2


F


2


, He, and NF


3


.




After the patterning of layers


16


,


18


,


20


,


22


,


24


and


26


, layers


28


and


26


can be removed to leave a patterned gate stack comprising layers


16


,


18


,


20


,


22


, and


24


.




A continuing goal in semiconductor wafer fabrication technologies is to reduce process complexity. Such reduction can comprise, for example, reducing a number of process steps, or reducing a number of layers utilized in forming a particular semiconductor structure. Accordingly, it would be desirable to develop alternative methods of forming patterned gate stacks wherein fewer steps and/or layers are utilized than those utilized in the prior art embodiment described with reference to

FIGS. 1-3

.




SUMMARY OF THE INVENTION




In one aspect, the invention encompasses a semiconductor processing method. A metal silicide layer is formed over a substrate. An antireflective material layer is chemical vapor deposited in physical contact with the metal silicide layer. A layer of photoresist is applied over the antireflective material layer and patterned photolithographically.




In another aspect, the invention encompasses a gate stack forming method. A polysilicon layer is formed over a substrate. A metal silicide layer is formed over the polysilicon layer. An antireflective material layer is deposited over the metal silicide layer. A silicon nitride layer is formed over the antireflective material layer and a layer of photoresist is formed over the silicon nitride layer. The layer of photoresist is photolithographically patterned to form a masking layer from the layer of photoresist. A pattern is transferred from the masking layer to the silicon nitride layer, antireflective material layer, metal silicide layer and polysilicon layer to pattern the silicon nitride layer, antireflective material layer, metal silicide layer and polysilicon layer into a gate stack.




In yet another aspect, the invention encompasses a gate stack comprising a polysilicon layer over a semiconductive substrate. The gate stack further comprises a metal silicide layer over the polysilicon layer, and a layer comprising silicon, oxygen and nitrogen over the metal silicide. Additionally, the gate stack comprises a silicon nitride layer over the layer comprising silicon, oxygen and nitrogen.











BRIEF DESCRIPTION OF THE DRAWINGS




Preferred embodiments of the invention are described below with reference to the following accompanying drawings.





FIG. 1

is a fragmentary, diagrammatic, cross-sectional view of a semiconductive wafer fragment at a preliminary processing step of a prior art process.





FIG. 2

is a view of the

FIG. 1

wafer fragment at a prior art processing step subsequent to that of FIG.


1


.





FIG. 3

is a view of the

FIG. 1

wafer fragment at a prior art processing step subsequent to that of FIG.


2


.





FIG. 4

is a fragmentary, diagrammatic, cross-sectional view of a semiconductive wafer fragment at a preliminary processing step of a method of the present invention.





FIG. 5

is a view of the

FIG. 4

wafer fragment at a processing step subsequent to that of FIG.


4


.





FIG. 6

is a view of the

FIG. 4

wafer fragment at a processing step subsequent to that of FIG.


5


.











DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS




This disclosure of the invention is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws “to promote the progress of science and useful arts” (Article 1, Section 8).




An embodiment encompassed by the present invention is described with reference to

FIGS. 4-6

. In describing the embodiment of

FIGS. 4-6

, similar numbering to that utilized above in describing the prior art processing of

FIGS. 1-3

will be used, with differences indicated by the suffix “a”, or by different numerals.




Referring to

FIG. 4

, a semiconductive wafer fragment


10




a


is illustrated at a preliminary processing step. Wafer fragment


10




a


, like the wafer fragment


10


of

FIGS. 1-3

, comprises a substrate


12


, a gate oxide layer


16


, a polysilicon layer


18


, and a silicide layer


20


. However, in contrast to the prior art processing described above with reference to

FIGS. 1-3

, a layer


50


comprising silicon, nitrogen, and oxygen is formed over silicide


20


, and in the shown preferred embodiment is formed in physical contact with silicide layer


20


. Layer


50


thus replaces the oxide layer


22


of the prior art embodiment of

FIGS. 1-3

.




Layer


50


is preferably formed by chemical vapor deposition (CVD). Layer


50


can be formed by, for example, CVD utilizing SiH


4


and N


2


O as precursors, in a reaction chamber at a temperature of about 400° C. Such deposition can be performed either with or without a plasma being present within the reaction chamber. Exemplary conditions for depositing layer


50


include flowing SiH


4


into a plasma-enhanced CVD chamber at a rate of from about 40 standard cubic centimeters per minute (SCCM) to about 300 SCCM (preferably about 80 SCCM), N


2


O at a rate of from about 80 SCCM to about 600 SCCM (preferably about 80 SCCM), He at a rate from about 1300 SCCM to about 2500 SCCM (preferably about 2200 SCCM), with a pressure within the chamber of from about 4 Torr to about 6.5 Torr, and a power to the chamber of from about 50 watts to about 200 watts (preferably about 100 watts).




The above-described exemplary conditions can further include flowing nitrogen gas (N


2


) into the reaction chamber at a rate of from greater than 0 SCCM to about 300 SCCM, and preferably at a rate of about 200 SCCM, and/or flowing NH


3


into the reaction chamber at a rate of from greater than 0 SCCM to about 100 SCCM.




An exemplary composition of layer


50


is Si


x


N


y


O


z


:H, wherein x=0.5, y=0.37, and z=0.13. The relative values of x, y, z and the hydrogen content can be adjusted to alter absorbance characteristics of the deposited material. Layer


50


preferably has a thickness of from about 250 Å to about 650 Å.




Layer


50


is preferably provided over silicide layer


20


before annealing layer


20


. Layer


50


thus provides the above-described function of oxide layer


22


(described with reference to

FIGS. 1-3

) of protecting silicide layer


20


from exposure to gaseous oxygen during annealing of the silicide layer.




A silicon nitride layer


24


is formed over layer


50


, and can be in physical contact with layer


50


. As discussed above in the background section of this disclosure, silicon nitride layer


24


can exert stress on underlying layers. Accordingly, layer


50


can serve a function of prior art silicon dioxide layer


22


(discussed with reference to

FIGS. 1-3

) of alleviating such stress from adversely impacting underlying conductive layers


20


and


18


. Silicon nitride layer


24


can be formed over layer


50


either before or after annealing silicide layer


20


.




A photoresist layer


28


is formed over silicon nitride layer


24


. In contrast to the prior art embodiment discussed with reference to

FIGS. 1-3

, there is no antireflective material layer formed between silicon nitride layer


24


and photoresist layer


28


. Instead, layer


50


is preferably utilized to serve the function of an antireflective material. Specifically, nitride layer


24


is effectively transparent to radiation utilized in patterning photoresist layer


28


. Accordingly, radiation which penetrates photoresist layer


28


will generally also penetrate silicon nitride layer


24


and thereafter enter layer


50


. Preferably, the stoichiometry of silicon, oxygen and nitrogen of layer


50


is appropriately adjusted to cancel radiation reaching layer


50


from being reflected back into photoresist layer


28


. Such adjustment of stoichiometry can be adjusted with routine experimentation utilizing methods known to persons of ordinary skill in the art. Another way of describing the adjustment of layers


24


and


50


is that layers


24


and


50


can be tuned in thickness (by adjusting thickness of one or both of layers


24


and


50


) and stoichiometry (by adjusting a stoichiometry of layer


50


) such that reflection back into an overlying layer of photoresist is minimized.




Referring to

FIG. 5

, photoresist layer


28


is patterned to form a patterned mask over a stack


60


comprising layers


16


,


18


,


20


,


50


and


24


.




Referring to

FIG. 6

, a pattern from photoresist layer


28


is transferred to stack


60


(

FIG. 5

) to form a patterned gate stack


70


comprising layers


16


,


18


,


20


,


50


and


24


. Such transfer of a pattern from layer


28


can be accomplished by, for example, a plasma etch utilizing one or more of Cl, HBr, CF


4


, CH


2


F


2


, He and NF


3


. Photoresist layer


28


can then be removed from over gate stack


70


. Subsequently, source and drain regions can be implanted adjacent the gate stack, and sidewall spacers can be provided over sidewalls of the gate stack to complete construction of a transistor gate from gate stack


70


.




The method of the present invention can reduce complexity relative to the prior art gate stack forming method described above with reference to

FIGS. 1-3

. Specifically, the method of the present invention can utilize a single layer (


50


) to accomplish the various functions of protecting silicide during annealing, reducing stress from an overlying silicon nitride layer, and alleviating reflections of light during photolithographic processing of an overlying photoresist layer. Accordingly, the method of the present invention can eliminate an entire layer (antireflective layer


26


of

FIGS. 1-3

) relative to the prior art process described with reference to

FIGS. 1-3

. Such elimination of a layer also eliminates fabrication steps associated with forming and removing the layer. Accordingly, methods encompassed by the present invention can be more efficient semiconductor fabrication processes then prior art methods.




In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.



Claims
  • 1. A semiconductor processing method comprising annealing a metal silicide at a temperature of from about 800° C. to about 900° C. while the metal silicide is covered with a layer comprising silicon, nitrogen and oxygen.
  • 2. The method of claim 1 wherein the layer comprising silicon, nitrogen and oxygen comprises SixNyOz:H, wherein x is from 0.39 to 0.65, y is from 0.02 to 0.56, and z is from 0.05 to 0.33.
  • 3. The method of claim 2 further comprising forming a layer of silicon nitride over the layer comprising silicon, nitrogen, oxygen and hydrogen.
  • 4. The method of claim 2 further comprising forming a layer of silicon nitride over the layer comprising silicon, nitrogen, oxygen and hydrogen before the annealing.
  • 5. The method of claim 1 further comprising forming a layer of silicon nitride over the layer comprising silicon, nitrogen and oxygen.
  • 6. The method of claim 1 further comprising forming a layer of silicon nitride over the layer comprising silicon, nitrogen and oxygen before the annealing.
  • 7. The method of claim 1 wherein the metal of the metal silicide is tungsten or titanium.
  • 8. A semiconductor processing method comprising:providing a mass of metal silicide; chemical vapor depositing an antireflective material layer in physical contact with the metal silicide, the deposited antireflective material layer comprising silicon, nitrogen, oxygen and hydrogen; forming a layer of photoresist over the antireflective material layer; and exposing the layer of photoresist to light.
  • 9. The method of claim 8 wherein the deposited antireflective material layer comprises silicon, nitrogen and oxygen.
  • 10. The method of claim 8 wherein the deposited antireflective material layer comprises silicon, nitrogen, oxygen, and hydrogen.
  • 11. The method of claim 8 further comprising forming a silicon nitride layer over the deposited antireflective material layer, and wherein the layer of photoresist is formed over the silicon nitride layer.
  • 12. The method of claim 8 further comprising annealing the metal silicide at a temperature of from about 800° C. to about 900° C. while the metal silicide is in physical contact with the deposited antireflective material layer.
  • 13. A gate stack forming method, comprising:forming a polysilicon layer over a monocrystalline silicon substrate; forming a metal silicide layer over the polysilicon layer; depositing an antireflective material layer over the metal silicide layer; forming a silicon nitride layer over the antireflective material layer; forming a layer of photoresist over the silicon nitride layer; photolithographically patterning the layer of photoresist to form a patterned masking layer from the layer of photoresist; transferring a pattern from the patterned masking layer to the silicon nitride layer, antireflective material layer, metal silicide layer and polysilicon layer to pattern the silicon nitride layer, antireflective material layer, metal silicide layer and polysilicon layer into a gate stack; and wherein the deposited antireflective material layer comprises silicon, nitrogen, oxygen and hydrogen.
  • 14. The method of claim 13 wherein the deposited antireflective material layer physically contacts the metal silicide layer.
  • 15. The method of claim 13 wherein the silicon nitride layer physically contacts the deposited antireflective material layer.
  • 16. The method of claim 13 wherein the silicon nitride layer physically contacts the deposited antireflective material layer, and wherein the deposited antireflective material layer physically contacts the metal silicide layer.
  • 17. The method of claim 13 further comprising annealing the metal silicide at a temperature of from about 800° C. to about 900° C. while the antireflective material layer is over the metal silicide.
  • 18. A semiconductor processing method comprising:forming a metal silicide layer over a substrate; depositing a layer comprising silicon, nitrogen and oxygen over and physically against the metal silicide layer; forming a silicon nitride layer over and physically against the layer comprising silicon, nitrogen and oxygen; and annealing the metal silicide layer after forming the silicon nitride layer, the annealing comprising exposing the metal silicide layer to a temperature of from about 800° C. to about 900° C.
  • 19. The method of claim 18 wherein the annealing the metal silicide layer comprises exposing the metal silicide layer to the temperature of from about 800° to about 900° for a time of about thirty minutes.
Parent Case Info

This patent resulted from a continuation application of U.S. patent application Ser. No. 09/146,842, filed on Sep. 3, 1998, and which issued as U.S. Pat. No. 6,281,100, on Aug. 28, 2001.

US Referenced Citations (119)
Number Name Date Kind
4158717 Nelson Jun 1979 A
4444617 Whitcomb Apr 1984 A
4474975 Clemons et al. Oct 1984 A
4552783 Stoll et al. Nov 1985 A
4600671 Saitoh et al. Jul 1986 A
4648904 Depasquale et al. Mar 1987 A
4695859 Guha et al. Sep 1987 A
4702936 Maeda et al. Oct 1987 A
4805683 Magdo et al. Feb 1989 A
4833096 Huang et al. May 1989 A
4863755 Hess et al. Sep 1989 A
4954867 Hosaka Sep 1990 A
4992306 Hochberg et al. Feb 1991 A
5034348 Hartswick et al. Jul 1991 A
5061509 Naito et al. Oct 1991 A
5140390 Li et al. Aug 1992 A
5219613 Fabry et al. Jun 1993 A
5234869 Mikata et al. Aug 1993 A
5260600 Harada Nov 1993 A
5270267 Ouellet Dec 1993 A
5286661 de Fresart et al. Feb 1994 A
5302366 Schuette et al. Apr 1994 A
5314724 Tsukune et al. May 1994 A
5340621 Matsumoto et al. Aug 1994 A
5356515 Tahara et al. Oct 1994 A
5376591 Maeda et al. Dec 1994 A
5405489 Kim et al. Apr 1995 A
5441797 Hogan et al. Aug 1995 A
5461003 Havemann et al. Oct 1995 A
5470772 Woo Nov 1995 A
5472827 Ogawa et al. Dec 1995 A
5472829 Ogawa Dec 1995 A
5482894 Havemann Jan 1996 A
5536857 Narula et al. Jul 1996 A
5541445 Quellet Jul 1996 A
5543654 Dennen Aug 1996 A
5554567 Wang Sep 1996 A
5591494 Sato et al. Jan 1997 A
5591566 Ogawa Jan 1997 A
5600165 Tsukamoto et al. Feb 1997 A
5641607 Ogawa et al. Jun 1997 A
5648202 Ogawa et al. Jul 1997 A
5652187 Kim et al. Jul 1997 A
5656330 Niiyama et al. Aug 1997 A
5656337 Park et al. Aug 1997 A
5661093 Ravi et al. Aug 1997 A
5667015 Harestad et al. Sep 1997 A
5670297 Ogawa et al. Sep 1997 A
5674356 Nagayama Oct 1997 A
5677015 Hasegawa Oct 1997 A
5677111 Ogawa Oct 1997 A
5691212 Tsai et al. Nov 1997 A
5698352 Ogawa et al. Dec 1997 A
5709741 Akamatsu et al. Jan 1998 A
5710067 Foote et al. Jan 1998 A
5731242 Parat et al. Mar 1998 A
5741721 Stevens Apr 1998 A
5744399 Rostoker et al. Apr 1998 A
5750442 Juengling May 1998 A
5753320 Mikoshiba et al. May 1998 A
5759755 Park et al. Jun 1998 A
5783493 Yeh et al. Jul 1998 A
5786039 Brouquet Jul 1998 A
5792689 Yang et al. Aug 1998 A
5800877 Maeda et al. Sep 1998 A
5801399 Hattori et al. Sep 1998 A
5807660 Lin et al. Sep 1998 A
5817549 Yamazaki et al. Oct 1998 A
5831321 Nagayama Nov 1998 A
5838052 McTeer Nov 1998 A
5858880 Dobson et al. Jan 1999 A
5872035 Kim et al. Feb 1999 A
5872385 Taft et al. Feb 1999 A
5874367 Dobson Feb 1999 A
5883011 Lin et al. Mar 1999 A
5883014 Chen et al. Mar 1999 A
5933721 Hause et al. Aug 1999 A
5948482 Brinker et al. Sep 1999 A
5960289 Tsui et al. Sep 1999 A
5962581 Hayase et al. Oct 1999 A
5968324 Cheung et al. Oct 1999 A
5968611 Kaloyeros et al. Oct 1999 A
5981368 Gardner et al. Nov 1999 A
5994730 Shrivastava et al. Nov 1999 A
6001741 Alers Dec 1999 A
6004850 Lucas et al. Dec 1999 A
6008121 Yang et al. Dec 1999 A
6008124 Sekiguchi et al. Dec 1999 A
6017779 Miyasaka Jan 2000 A
6020243 Wallace et al. Feb 2000 A
6022404 Ettlinger et al. Feb 2000 A
6028015 Wang et al. Feb 2000 A
6040619 Wang et al. Mar 2000 A
6054379 Yau et al. Apr 2000 A
6060765 Maeda May 2000 A
6060766 Mehta et al. May 2000 A
6071799 Park et al. Jun 2000 A
6072227 Yau et al. Jun 2000 A
6087267 Dockrey et al. Jul 2000 A
6114255 Juengling Sep 2000 A
6124641 Matsuura Sep 2000 A
6130168 Chu et al. Oct 2000 A
6133096 Su et al. Oct 2000 A
6133613 Yao et al. Oct 2000 A
6136636 Wu Oct 2000 A
6140151 Akram Oct 2000 A
6140677 Gardner et al. Oct 2000 A
6156674 Li et al. Dec 2000 A
6159804 Gardner et al. Dec 2000 A
6159871 Loboda et al. Dec 2000 A
6184151 Adair et al. Feb 2001 B1
6187657 Xiang et al. Feb 2001 B1
6187694 Cheng et al. Feb 2001 B1
6198144 Pan et al. Mar 2001 B1
6225217 Usami et al. May 2001 B1
6235568 Murthy et al. May 2001 B1
6235591 Balasubramanian et al. May 2001 B1
6238976 Noble et al. May 2001 B1
6268282 Sandhu et al. Jul 2001 B1
Foreign Referenced Citations (16)
Number Date Country
0 464 515 Jan 1992 EP
0 471 185 Feb 1992 EP
0 588 087 Mar 1994 EP
0 588 087 Mar 1994 EP
0 771 886 May 1997 EP
0 778 496 A2 Jun 1997 EP
0 942 330 Sep 1999 EP
593727 Oct 1947 GB
63-157443JP63157443 Jun 1988 JP
5-263255JP263255 Oct 1993 JP
06067019 Mar 1994 JP
4062441726-244172 Sep 1994 JP
9-55351 Feb 1997 JP
09-050993JP750993 Feb 1997 JP
US 9920029 Aug 1999 WO
US 9920030 Aug 1999 WO
Non-Patent Literature Citations (25)
Entry
Robert Withnall et al.; “Matrix Reactions of Methylsilanes and Oxygen Atoms”; 1988 American Chemical Society; pp. 594-602.
Weidman, T. et al., “New Photodefinable Glass Etch Masks for Entirely Dry Photolithography: Plasma Deposited Organosilicon Hydride Polymers”, Appl. Phys. Lett., vol. 62, No. 4, Jan. 25, 1993, pp. 372-374.
Weidman, T. et al., “All Dry Lithography: Applications of Plasma Polymerized Methylsilane as a Single Layer Resist and Silicon Dioxide Precursor”, J. Photopolym. Sci. Technol., vol. 8, No. 4, 1995, pp. 679-686.
Joubert, O. et al., “Application of Plasma Polymerized Methylsilane in an All Dry Resist Process for 193 and 248nm Lithography”, Microelectronic Engineering 30 (1996), pp. 275-278.
Ajey M. Joshi et al; “Plasma Deposited Organosilicon Hydride Network Polymers as Versatile Resists for Entirely Dry Mid-Deep UV Photolithography”; SPIE vol. 1925/709; pp. 709-720.
M. Matsuura et al.; “A Highly Reliable Self-planarizing Low-k Intermetal Dielectric for Sub-quarter Micron Interconnects”; IEEE 7/97 pp. 31.6.1-31.6.4.
O. Horie et al.; “Kinetics and Mechanism of the Reactions of O(3P) with SiH4, CH3SiH3, (CH3)2SiH2, and (CH3)3SiH”; 1991 American Chemical Society; pp. 4393-4400.
McClatchie, S. et al., “Low Dielectric Constant Flowfill Technology for IMD Applications”, Proceed. of 3d Internatl. Dielectrics for ULSI Multilevel Interconnection Conf, Santa Clara, CA, Feb. 1997, pp. 34-40.
Beekman, K. et al., “Sub-Micron Gap Fill and In-Situ Planarisation Using Flowfill™ Technology”, ULSI Conf, Portland, OR, Oct. 1995, pp. 1-7.
Kiermasz, A. et al., “Planarisation for Sub-Micron Devices Utilising a New Chemistry”, DUMIC Conf., California, Feb. 1995, pp. 1-2.
IBM Technical Disclosure Bulletin “Low-Temperature Deposition of SiO2, Si3N4,or SiOz-Si3N4” vol. 28, No. 9, p. 4170, Feb. 1986.
TEXT: Ralls, K. et al., “Introduction to Materials Science and Engineering”, 1976 John Wiley & Sons, Inc., pp. 312-313.
Abstract: Loboda, M. et al., “Using Trimethylsilane to Improve Safety, Throughput and Versatility in PECVD Processes”, Electrochemical Society Meeting Abstract No. 358, 191st Meeting, Montreal, Quebec, vol. MA 97-1, 1997, p. 454.
Laxman, R. et al., “Synthesizing Low-k CVD Materials for Fab Use”, Semiconductor Internatl., Nov. 2000, pp. 95-102 (printed from www.semiconductor-intl.com).
Anonymous, “New Gas Helps Make Faster ICs”, Machine Design, vol. 71, Iss. 21, Nov. 4, 1999, p. 118.
Julius Grant, “Hack's Chemical Dictionary”, McGraw-Hill Book Company 1969, Fourth Edition, p. 27.
“Dielectric Anti-reflective Coatings for DUV Lithography”, C.N. Bencher et al.; Solid State Technology; Mar. 1997, pp. 109, 111-112, 114.
“Dependence of Optical Constants of AZ® BARLi™ Bottom Coating on Baje Conditions”, R.R. Dammel et al.; SPIE vol. 3049 (1997), pp. 963-973.
“Optical Properties of Thin Solid Films”, O.S. Heavens; (text) pp. 48-49.
“Fundamentals of Optics”, F. Jenkins et a;.; Properties of Light (text); pp. 9-10.
“Silicon Processing For the VLSI Era”, S. Wolf et al.; vol. 1, pp. 437-441.
McKenzie, D. et al., “New Technology for PACVD”, Surface and Coatings Technology 82 (1996), pp. 326-333.
Shibata, Noburu, “Plasma-Chemical Vapor-Deposited Silicon Oxide/Silicon Oxynitride Double-Layer Antireflective Coating for Solar Cells”, Jap. Journ. of Applied Physics, vol. 30, No. 5, May 1991, pp. 997-1001.
U.S. application No. 09/030,618, Holscher, filed Feb. 1998.
Rolfson et al., U.S. Patent Application Ser. No. US 09/773,462; Publication No. 2001/0023051 A1; Filed Jan. 31, 2001; entitled “Semiconductor Processing Method of Promoting Photoresist Adhesion to an Outer Substrate Layer Predominately Comprising Silicon Nitride”; as filed and amended.
Continuations (1)
Number Date Country
Parent 09/146842 Sep 1998 US
Child 09/870850 US