Sulfonium-Salt-Type Polymerizable Monomer, Polymer Photoacid Generator, Base Rein, Resist Composition, And Patterning Process

Abstract
The present invention is a sulfonium-salt-type polymerizable monomer represented by the following formula (1),
Description
TECHNICAL FIELD

The present invention relates to a novel sulfonium-salt-type polymerizable monomer, a polymer photoacid generator and base resin having a repeating unit derived from the monomer, a resist composition containing the base resin, and a patterning process using the composition.


BACKGROUND ART

As higher integration and higher speed of LSI have been achieved in recent years, the pattern rule has been required to be miniaturized, and far ultraviolet ray lithography and extreme ultraviolet ray (EUV) lithography are promising as the next-generation fine processing technology. Among these, photolithography using ArF excimer laser light is essential technology for ultrafine processing of 0.13 μm or smaller.


The ArF lithography began to be partially used from production of 130-nm node devices, and has become main lithography technology from 90-nm node devices. Although 157-nm lithography using F2 laser was initially promising as the next 45-nm node lithography technology, development delay due to problems was pointed out, and thereby ArF immersion lithography has rapidly emerged (Non Patent Document 1) to be in a practical use stage. The ArF immersion lithography can design a numerical aperture (NA) of a projection lens to be 1.0 or more and can achieve high resolution by interposing a liquid having higher refractive index than air, such as water, ethylene glycol, and glycerin, between the projection lens and a wafer. This immersion lithography requires a resist composition hardly eluted into water.


In the ArF lithography, a highly sensitive resist composition that can exhibit sufficient resolution with a small exposure dose is required to prevent deterioration of a precise and expensive optical material. The most common method for achieving this requirement is selecting a component having high transparency at a wavelength of 193 nm. For example, proposed as a base polymer are polyacrylic acid and a derivative thereof, a norbornene-maleic anhydride alternating polymer, polynorbornene, a ring-opening metathesis polymer, a hydrogenated ring-opening metathesis polymer, etc., and an outcome is obtained in a certain degree in terms of increase in the transparency of the resin itself.


In recent years, in addition to a positive-tone resist with alkali aqueous solution development, a negative-tone resist with organic solvent development has attracted attention. To resolve an extremely fine hole pattern, which cannot be achieved by positive-tone exposure, by the negative-tone exposure, the negative-tone resist forms a negative-type pattern by using a positive-type resist composition having high resolution and developing the exposed pattern with an organic solvent. Furthermore, combining twice developments of alkali aqueous solution development and organic solvent development has been investigated to obtain twice resolution. As an ArF resist composition for the negative-tone development with an organic solvent, conventional positive-type ArF resist compositions can be used, and Patent Documents 1 to 3 describe patterning processes using these compositions.


To adapt rapid miniaturization in recent years, development of resist compositions is progressing in addition to the process technology. Various investigations are made also on a photoacid generator, and a sulfonium salt composed of a triphenylsulfonium cation and a perfluoroalkanesulfonate anion is commonly used. However, a perfluoroalkanesulfonic acid, which is an acid to be generated, particularly perfluorooctanesulfonic acid (PFOS), has hard degradability, bioconcentration property, and toxicity concern. Thus, it is difficult to be applied for the resist composition, and a photoacid generator to generate perfluorobutanesulfonic acid is used at present. However, when such a photoacid generator is used for the resist composition, the acid to be generated largely diffuses to be difficult to achieve the high resolution. For this problem, various partially fluorine-substituted alkanesulfonic acids and salts thereof have been developed. For example, Patent Document 1 describes, as conventional art, a photoacid generator to generate an α,α-difluoroalkanesulfonic acid by exposure, specifically di(4-tert-butylphenyl)iodonium 1,1-difluoro-2-(1-naphthyl)ethanesulfonate, and a photoacid generator to generate an α,α,β,β-tetrafluoroalkanesulfonic acid. Although having a reduced fluorine substitution rate, these acids have no decomposable substituent, such as an ester structure, and thereby are not satisfactory from the viewpoint of environmental safety with easy degradability. In addition, these photoacid generators have problems such as: a limit of molecular design for changing balkiness of the alkanesulfonic acid; and an expensive starting material having fluorine atoms.


As a line width of a circuit has been miniaturized, an effect of deterioration in contrast due to acid diffusion have become further considerable in the resist composition. This effect, which is caused by the pattern size being close to a length of the acid diffusion, deteriorates mask fidelity and deteriorates pattern rectangularity due to increase in a size error on a wafer relative to a value of size error of a mask (mask error factor (MEF)). Thus, to sufficiently obtain contribution of the shortened wavelength of the light source and the higher NA, increase in dissolution contrast or inhibition of the acid diffusion is further required compared with conventional materials. One of the solutions, which lowers the baking temperature, reduces the acid diffusion and consequently enables to improve the MEF, but the sensitivity is necessarily lowered.


Introducing a balky substituent or polar group into the photoacid generator is effective for inhibiting the acid diffusion. Patent Document 4 describes a photoacid generator having 2-acyloxy-1,1,3,3,3-pentafluoropropane-1-sulfonic acid, which has excellent solubility and stability in a resist solvent, and capable of a wide molecular design. In particular, a photoacid generator having 2-(1-adamantyloxy)-1,1,3,3,3-pentafluoropropane-1-sulfonic acid, which has the introduced balky substituent, has a small acid diffusion. Patent Documents 5 to 7 describe photoacid generators having an introduced condensed lactone, sultone, or thiolactone, as the polar group. Although improvement of performance by the effect of inhibiting the acid diffusion derived from introducing the polar group is confirmed in a certain degree, these photoacid generators are still insufficient for highly controlling the acid diffusion, and the lithographic performance collectively including the MEF, a pattern shape, and the sensitivity, are not satisfactory.


Introducing the polar group into an anion of the photoacid generator is effective for inhibiting the acid diffusion, but is disadvantageous from the viewpoint of solvent solubility. Patent Documents 8 and 9 attempt to introduce an alicyclic group into a cation portion of the photoacid generator to achieve the solvent solubility, and specifically, a cyclohexane ring or an adamantane ring is introduced. Although introducing such an alicyclic group improves the solubility, a certain amount of carbon numbers is required to achieve the solubility. As a result, the molecular structure of the photoacid generator becomes balky to deteriorate lithographic performance such as line width roughness (LWR) and critical dimension uniformity (CDU) in fine pattern formation.


For improving the dissolution contrast, Patent Documents 10 and 11 introduce an acid-labile group into an anion or cation of the photoacid generator. Many of these photoacid generators have a structure in which a carboxylic acid is protected with the acid-labile group. A deprotection reaction of the protective group with an acid proceeds before and after the exposure. However, the polar group to be generated is the carboxyl group, and thereby swelling due to a developer occurs during alkali development to cause a problem of pattern collapse in fine pattern formation. Development of a novel photoacid generator is important for meeting the requirement of further miniaturization, and desired is development of a photoacid generator having sufficiently controlled acid diffusion and excellent solvent solubility, and effectively inhibiting the pattern collapse.


CITATION LIST
Patent Literature



  • Patent Document 1: JP 2008-281974 A

  • Patent Document 2: JP 2008-281975 A

  • Patent Document 3: JP 4554665 B

  • Patent Document 4: JP 2007-145797 A

  • Patent Document 5: JP 5061484 B

  • Patent Document 6: JP 2016-147879 A

  • Patent Document 7: JP 2015-63472 A

  • Patent Document 8: JP 5573098 B

  • Patent Document 9: JP 6461919 B

  • Patent Document 10: JP 5544078 B

  • Patent Document 11: JP 5609569 B



Non Patent Literature



  • Non Patent Document 1: Journal of Photopolymer Science and Technology Vol. 17, No. 4, pp. 587-601 (2004).



SUMMARY OF INVENTION
Technical Problem

For the recent requirements of high resolution of the miniaturized resist pattern and inhibition of pattern collapse, a resist composition using a conventional sulfonium salt-type photoacid generator has a problem of deteriorated lithographic performance such as MEF and line width roughness (LWR) due to insufficient dissolution contrast and swelling with an alkaline developer. In addition, such a resist composition has a problem of the pattern collapse in fine pattern formation.


The present invention is made in view of the above circumstances. An object of the present invention is to provide: a sulfonium-salt-type polymerizable monomer used for a resist composition having excellent solvent solubility, high sensitivity and high contrast, having excellent lithographic performance such as exposure latitude (EL) and LWR, and hardly causing pattern collapse in fine pattern formation; a polymer photoacid generator and base resin having a repeating unit derived from this sulfonium-salt-type polymerizable monomer; a resist composition containing this base resin; and a patterning process using this resist composition.


Solution to Problem

To solve the above problem, the present invention provides a sulfonium-salt-type polymerizable monomer represented by the following formula (1),




embedded image




    • wherein “p” represents an integer of 1 to 3; R11 represents a hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom; two of the three substituents bonded to the sulfonium cation are optionally bonded each other to form a ring together with the sulfur atom to which the two substituents are bonded; Rf represents a fluorine atom or a fluorine-atom-containing alkyl group, a fluorine-atom-containing alkoxy group, or a fluorine-atom-containing sulfide group, each group having 1 to 6 carbon atoms; “q” represents an integer of 1 to 4, and when q≥2, Rf may be same as or different from each other; RALU represents an acid-labile group formed together with the adjacent oxygen atom; “r” represents an integer of 1 to 4; R12 represents a hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom; “s” represents an integer of 0 to 4; “t” represents an integer of 0 to 2; q+r+s≤5 when t=0; q+r+s≤7 when t=1; q+r+s≤9 when t=2; Rf and —O—RALU are bonded to adjacent carbon atoms; A represents a polymerizable group; and A-X represents a non-nucleophilic counterion having the polymerizable group.





Such a sulfonium-salt-type polymerizable monomer can provide a resist composition having excellent solvent solubility, high sensitivity and high contrast, and having excellent lithographic performance such as exposure latitude (EL) and LWR, by blending a polymer compound having a repeating unit derived from the above monomer in the resist composition as a base resin.


In the present invention, RALU in the formula (1) is preferably represented by the following formula (ALU-1) or (ALU-2),




embedded image


wherein in the formula (ALU-1), R21, R22, and R23 each independently represent a hydrocarbyl group having 1 to 10 carbon atoms and optionally having a substituent; any two of R21, R22, and R23 are optionally bonded each other to form a ring; “u” represents an integer of 0 or 1; in the formula (ALU-2), R24 and R25 each independently represent a hydrogen atom or a hydrocarbyl group having 1 to 10 carbon atoms and optionally having a substituent; R26 represents a hydrocarbyl group having 1 to 20 carbon atoms, or R26 is optionally bonded to R24 or R25 each other to form a heterocyclic group having 3 to 20 carbon atoms together with Xa and the carbon atom to which R24 and R25 are bonded; —CH2— contained in the hydrocarbyl group and the heterocyclic group is optionally substituted with —O— or —S—; Xa represents an oxygen atom or a sulfur atom; “v” represents an integer of 0 or 1; and “*” represents a bond to the adjacent oxygen atom.


Such a sulfonium-salt-type polymerizable monomer can provide a resist composition having further excellent lithographic performance.


In the present invention, A-X being the non-nucleophilic counterion having the polymerizable group in the formula (1) is preferably represented by at least one of the following general formulae (1-A) to (1-C),




embedded image


wherein RA represents a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group; Z3 represents a single bond, a phenylene group, a naphthylene group, or (main chain) —C(═O)—O—Z31—; Z31 represents an aliphatic hydrocarbylene group having 1 to 10 carbon atoms, a phenylene group or a naphthylene group, the aliphatic hydrocarbylene group optionally having a hydroxy group, an ether bond, an ester bond, or a lactone ring; Z4 represents a single bond, a methylene group, or —Z41—C(═O)—O—; Z41 represents a hydrocarbylene group having 1 to 20 carbon atoms and optionally having a heteroatom, an ether bond, or an ester bond; Z5 represents a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenylene group, a phenylene group substituted with a trifluoromethyl group, (main chain) —C(═O)—O—Z51—, (main chain) —C(═O)—N(H)—Z51—, or (main chain) —O—Z51—; Z51 represents an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a fluorinated phenylene group, or a phenylene group substituted with a trifluoromethyl group, and Z31 optionally has a carbonyl group, an ester bond, an ether bond, or a hydroxy group; L11 represents a single bond, an ether bond, an ester bond, a carbonyl group, a sulfonate ester bond, a carbonate bond, or a carbamate bond; Rf1 and Rf2 each independently represent a fluorine atom or a fluorinated alkyl group having 1 to 6 carbon atoms; Rf3 and Rf4 each independently represent a hydrogen atom, a fluorine atom, or a fluorinated alkyl group having 1 to 6 carbon atoms; and “c” represents an integer of 0 to 3.


Such a non-nucleophilic counterion can be suitably used for the sulfonium-salt-type polymerizable monomer.


The present invention also provides a polymer photoacid generator being a copolymer of the above sulfonium-salt-type polymerizable monomer.


Such a polymer photoacid generator can provide a resist composition having excellent solvent solubility, high sensitivity and high contrast, and having excellent lithographic performance such as exposure latitude (EL) and LWR, by blending in the resist composition.


The present invention also provides a base resin comprising a repeating unit derived from the above sulfonium-salt-type polymerizable monomer.


Such a base polymer can provide a resist composition having excellent solvent solubility, high sensitivity and high contrast, and having excellent lithographic performance such as exposure latitude (EL) and LWR.


In the present invention, the above base resin further preferably comprises a repeating unit represented by the following formula (a1) or (a2),




embedded image


wherein RA each independently represents a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group; ZA represents a single bond, (main chain) —C(═O)—O—ZA1—, a phenylene group or naphthylene group optionally containing an alkoxy group having 1 to 10 carbon atoms and optionally having a fluorine atom, or a phenylene group or naphthylene group optionally containing a halogen atom; ZA1 represents a linear, branched, or cyclic alkanediyl group having 1 to 20 carbon atoms, a phenylene group, or a naphthylene group, the alkanediyl group optionally having a heteroatom, an alkoxy group having 1 to 10 carbon atoms and optionally having a fluorine atom, a hydroxy group, an ether bond, an ester bond, or a lactone ring; ZB represents a single bond or (main chain) —C(═O)—O—; XA and XB each independently represent an acid-labile group; RB represents a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms and optionally having a heteroatom; and “n” represents an integer of 0 to 4.


Such a base resin can provide a resist composition having further excellent lithographic performance.


In this case, the above base resin preferably further comprises a repeating unit represented by the following formula (b1) or (b2),




embedded image


wherein RA and ZB represent the same as above; YA represents a hydrogen atom or a polar group having one or more structures selected from a hydroxy group other than a phenolic hydroxy group, a cyano group, a carbonyl group, a carboxy group, an ether bond, an ester bond, a sulfonate ester bond, a sulfonamide bond, a carbonate bond, a lactone ring, a sultone ring, a sulfur atom, and a carboxylic anhydride; Rb represents a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms and optionally having a heteroatom; and “m” represents an integer of 1 to 4.


A base resin having such a repeating unit can be suitably used as the inventive base resin.


The present invention also provides a resist composition comprising the above base resin.


Such a resist composition yields excellent solvent solubility, high sensitivity and high contrast, and excellent lithographic performance such as exposure latitude (EL) and LWR.


The above resist composition preferably further comprises an organic solvent.


Such a resist composition has excellent operability.


The inventive resist composition optionally further comprises a quencher.


The inventive resist composition can suitably control the acid diffusion with containing the quencher.


The inventive resist composition can further comprise a photoacid generator other than the above base resin.


Such a resist composition has good resolution.


The inventive resist composition optionally further comprises: a surfactant insoluble or hardly soluble in water and soluble in an alkaline developer; and/or a surfactant insoluble or hardly soluble in water and an alkaline developer.


In the ArF immersion exposure without a resist protective film, such a surfactant has a function of reducing penetration of water or leaching by orientation on a surface of the resist film. Thus, such a surfactant is useful for inhibiting elution of a water-soluble component from the resist film to reduce damage of an exposure apparatus. Such a surfactant is also useful because such a surfactant becomes soluble during development with an alkaline aqueous solution after the exposure and post exposure bake (PEB), and hardly forms a foreign matter causing a defect.


The present invention also provides a patterning process comprising steps of: forming a resist film on a substrate using the above resist composition; exposing the resist film to high energy ray; and developing the exposed resist film using a developer.


Such a patterning process uses the inventive resist composition, and can form a pattern with high sensitivity and high contrast, and excellent lithographic performance such as exposure latitude (EL) and LWR.


In this case, the high energy ray is preferably i-line, KrF excimer laser light, ArF excimer laser light, electron beam, or extreme ultraviolet ray having a wavelength of 3 to 15 nm.


Such high energy ray can be suitably used for the inventive patterning process.


With the inventive patterning process, an alkaline aqueous solution can be used as the developer to dissolve an exposed portion and obtain a positive-type pattern with an insoluble unexposed portion. Alternatively, an organic solvent can be used as the developer to dissolve an unexposed portion and obtain a negative-type pattern with an insoluble exposed portion.


As noted above, the inventive patterning process can yield any of the positive-type pattern and the negative-type pattern as necessary.


Advantageous Effects of Invention

In the polymer compound having the repeating unit derived from the inventive sulfonium-salt-type polymerizable monomer (the polymer photoacid generator or the base resin), the repeating unit functions as a photoacid generator. Patterning using a resist composition, such as a chemically amplified resist composition, containing such a polymer compound can form a resist pattern having high contrast, good sensitivity, excellent lithographic performance such as MEF and LWR, and hardly causing pattern collapse. In particular, in photolithography using high energy ray such as i-line, KrF excimer laser light, ArF excimer laser light, electron beam (EB), and EUV, the chemically amplified resist composition containing the inventive sulfonium salt as the photoacid generator has excellent solvent solubility, high sensitivity and high contrast, and excellent lithographic performance such as exposure latitude (EL) and LWR.





BRIEF DESCRIPTION OF DRAWINGS


FIG. 1 is a 1H-NMR spectrum of a compound obtained in Example 1-1.



FIG. 2 is a 1H-NMR spectrum of a compound obtained in Example 1-2.





DESCRIPTION OF EMBODIMENTS

As noted above, there have been demands for the developments of a photoacid generator having sufficiently inhibited acid diffusion, excellent solvent solubility, excellent lithographic performance such as LER, and effectively inhibiting the pattern collapse.


The present inventors have earnestly made study to achieve the above object, and consequently found that a sulfonium-salt-type polymerizable monomer having a specific structure has excellent solvent solubility and that a resist composition, such as a chemically amplified resist composition, using a polymer compound as a base resin having this sulfonium-salt-type polymerizable monomer as a photoacid-generatable repeating unit has high sensitivity and high contrast, excellent lithographic performance such as EL and LWR, and extremely effectively inhibiting pattern collapse in fine pattern formation. These findings have led to the completion of the present invention.


Specifically, the present invention is a sulfonium-salt-type polymerizable monomer represented by the following formula (1),




embedded image


wherein “p” represents an integer of 1 to 3; R11 represents a hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom; two of the three substituents bonded to the sulfonium cation are optionally bonded each other to form a ring together with the sulfur atom to which the two substituents are bonded; Rf represents a fluorine atom or a fluorine-atom-containing alkyl group, a fluorine-atom-containing alkoxy group, or a fluorine-atom-containing sulfide group, each group having 1 to 6 carbon atoms; “q” represents an integer of 1 to 4, and when q≥2, Rf may be same as or different from each other; RALU represents an acid-labile group formed together with the adjacent oxygen atom; “r” represents an integer of 1 to 4; R12 represents a hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom; “s” represents an integer of 0 to 4; “t” represents an integer of 0 to 2; q+r+s 5 when t=0; q+r+s 7 when t=1; q+r+s 9 when t=2; Rf and —O—RALU are bonded to adjacent carbon atoms; A represents a polymerizable group; and A-X represents a non-nucleophilic counterion having the polymerizable group.


Hereinafter, the present invention will be described in detail, but the present invention is not limited thereto.


Sulfonium-Salt-Type Polymerizable Monomer

The inventive sulfonium-salt-type polymerizable monomer is represented by the following formula (1).




embedded image


Hereinafter, the structure in the parenthesis of the formula (1) is also referred to as Arf. That is, Arf represents a structure represented by the following formula (1-1), and the formula (1) can also be represented as the following formula (1-2).




embedded image



[R11]3-pS+ArfpA-X  (1-2)


In the formula (1), “p” represents an integer of 1 to 3.


In the formula (1), R11 represents a hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom. The hydrocarbyl group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include: alkyl groups having 1 to 20 carbon atoms, such as a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, and a tert-butyl group; cyclic saturated hydrocarbyl groups having 3 to 20 carbon atoms, such as a cyclopropyl group, a cyclopentyl group, a cyclohexyl group, a cyclopropylmethyl group, a 4-methylcyclohexyl group, a cyclohexylmethyl group, a norbornyl group, and an adamantyl group; alkenyl groups having 2 to 20 carbon atoms, such as a vinyl group, an allyl group, a propenyl group, a butenyl group, and a hexenyl group; cyclic unsaturated hydrocarbyl groups having 3 to 20 carbon atoms, such as a cyclohexenyl group; aryl groups having 2 to 20 carbon atoms, such as a phenyl group and a naphthyl group; aralkyl groups having 7 to 20 carbon atoms, such as a benzyl group, a 1-phenylethyl group, and a 2-phenylethyl group; and groups obtained by combining these groups.


Among these, aryl groups are preferable. A part or all of hydrogen atoms in the hydrocarbyl group are optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, a nitrogen atom, and a halogen atom. A part of —CH2— constituting the hydrocarbyl group is optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, and a nitrogen atom. As a result, optionally contained are a hydroxy group, a cyano group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, etc.


When p=1, any two of the aromatic ring Arf and the two R11 are optionally bonded each other to form a ring together with the sulfur atom to which these groups are bonded. When p=2, any two of the two aromatic rings Arf and the one R11 are optionally bonded each other to form a ring together with the sulfur atom to which these groups are bonded. When p=3, any two of the three aromatic rings Arf are optionally bonded each other to form a ring together with the sulfur atom to which these groups are bonded. Examples of a sulfonium cation in these cases include those represented by the following formulae.




embedded image


In the formula, a broken line represents a residual attachment point of the sulfonium cation.


In the formula (1), “t” represents an integer of 0 to 2. “t=0” represents a benzene ring, “t=1” represents a naphthalene ring, and “t=2” represents an anthracene ring. “t” preferably represents 0, which represents a benzene ring, is preferable from the viewpoint of the solvent solubility.


In the formula (1), Rf represents a fluorine atom or a fluorine-atom-containing alkyl group, alkoxy group, or sulfide group having 1 to 6 carbon atoms. Examples of the fluorine-atom-containing alkyl group having 1 to 6 carbon atoms include a fluoromethyl group, a difluoromethyl group, a trifluoromethyl group, a 2,2,2-trifluoroethyl group, a pentafluoroethyl group, a pentafluoropropyl group, a 1,1,1,3,3,3-hexafluoro-2-propyl group, and a nonafluorobutyl group. Examples of the fluorine-atom-containing alkoxy group having 1 to 6 carbon atoms include a fluoromethoxy group, a difluoromethoxy group, a trifluoromethoxy group, a 2,2,2-trifluoroethoxy group, a pentafluoroethoxy group, a pentafluoropropoxy group, a 1,1,1,3,3,3-hexafluoro-2-propoxy group, and a nonafluorobutoxy group. Examples of the fluorine-atom-containing sulfide group having 1 to 6 carbon atoms include a fluorothiomethoxy group, a difluorothiomethoxy group, a trifluorothiomethoxy group, a 2,2,2-trifluorothioethoxy group, a pentafluorothioethoxy group, a pentafluorothiopropoxy group, a 1,1,1,3,3,3-hexafluoro-2-thiopropoxy group, and a nonafluorothiobutoxy group. Among these, Rf preferably represents a fluorine atom or a fluorine-atom-containing alkoxy group, and further preferably a fluorine atom or a trifluoromethoxy group.


In the formula (1), “q” represents an integer of 1 to 4, and when q≥2, Rf may be same as or different from each other. From the viewpoint of easiness of raw material availability, “q” preferably represents 1 or 2.


In the formula (1), R12 represents a hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom. The hydrocarbyl group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include: alkyl groups having 1 to 20 carbon atoms, such as a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, and a tert-butyl group; cyclic saturated hydrocarbyl groups having 3 to 20 carbon atoms, such as a cyclopropyl group, a cyclopentyl group, a cyclohexyl group, a cyclopropylmethyl group, a 4-methylcyclohexyl group, a cyclohexylmethyl group, a norbornyl group, and an adamantyl group; alkenyl groups having 2 to 20 carbon atoms, such as a vinyl group, an allyl group, a propenyl group, a butenyl group, and a hexenyl group; cyclic unsaturated hydrocarbyl groups having 3 to 20 carbon atoms, such as a cyclohexenyl group; aryl groups having 2 to 20 carbon atoms, such as a phenyl group and a naphthyl group; aralkyl groups having 7 to 20 carbon atoms, such as a benzyl group, a 1-phenylethyl group, and a 2-phenylethyl group; and groups obtained by combining these groups. Among these, aryl groups are preferable. A part or all of hydrogen atoms in the hydrocarbyl group are optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, a nitrogen atom, and a halogen atom. A part of —CH2— constituting the hydrocarbyl group is optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, and a nitrogen atom. As a result, optionally contained are a hydroxy group, a cyano group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, etc.


In the formula (1), “s” represents an integer of 0 to 4, and preferably represents 0 or 1.


In the formula (1), RALU represents an acid-labile group formed together with the adjacent oxygen atom. Here, the acid-labile group means an acidic functional group, such as a phenolic hydroxy group and a carboxyl group, is substituted with one or more functional groups decomposable in the presence of an acid. The acid-labile group is not particularly limited as long as the acid-labile group is decomposed in the presence of an acid to release the functional group having alkali solubility.


In the formula (1), “r” represents an integer of 1 to 4, and when r 2, RALU may be same as or different from each other.


When t=0, the aromatic ring is a benzene ring, and q+r+s 5. When t=1, the aromatic ring is a naphthalene ring, and q+r+s 7. When t=2, the aromatic ring is an anthracene ring, and q+r+s 9. Rf and —O—RALU are bonded to adjacent carbon atoms. When “q” or “r” represents 2 or more, at least one pair of Rf and —O—RALU are bonded to the adjacent carbon atoms, and preferably all Rf and —O—RALU are bonded to the adjacent carbon atoms. As described later, a sulfonium salt having the structure in which Rf and —O—RALU are preferably bonded to the adjacent carbon atoms can provide a resist composition that can form a pattern having high dissolution contrast, excellent LWR of a line pattern or CDU of a hole pattern, and hardly causing collapse by a synergistic effect of these groups.


Specifically, the acid-labile group RALU is preferably a structure represented by the following formula (ALU-1) or (ALU-2).




embedded image


In the formula (ALU-1), R21, R22, and R23 each independently represent a hydrocarbyl group having 1 to 10 carbon atoms and optionally having a substituent. Any two of R21, R22, and R23 are optionally bonded each other to form a ring. “u” represents an integer of 0 or 1. In the formula (ALU-2), R24 and R25 each independently represent a hydrogen atom or a hydrocarbyl group having 1 to 10 carbon atoms and optionally having a substituent. R26 represents a hydrocarbyl group having 1 to 20 carbon atoms, or R26 is optionally bonded to R24 or R25 each other to form a heterocyclic group having 3 to 20 carbon atoms together with Xa and the carbon atom to which R24 and R25 are bonded. —CH2— contained in the hydrocarbyl group and the heterocyclic group is optionally substituted with —O— or —S—; Xa represents an oxygen atom or a sulfur atom. “v” represents an integer of 0 or 1. “*” represents a bond to the adjacent oxygen atom.


Hydrogen atoms in R21 to R26 are optionally substituted. When R21 to R26 has an aromatic ring, such as a benzene ring, a naphthalene ring, and an indene ring, a part or all of hydrogen atoms in the aromatic ring are optionally substituted. Examples of such a substituent include a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, a methyl group, a methoxy group, a trifluoromethyl group, a trifluoromethoxy group, a nitro group, and a cyano group.


Examples of the structure of the acid-labile group represented by the formula (ALU-1) include the following structures, but the structure is not limited thereto. “*” represents a bond to the adjacent oxygen atom.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the structure of the acid-labile group represented by the formula (ALU-2) include the following structures, but the structure is not limited thereto. “*” represents a bond to the adjacent oxygen atom. The oxygen atom in the following structures may be substituted with a sulfur atom.




embedded image


embedded image


Examples of the cation of the sulfonium salt represented by the formula (1) include the following cations, but the cation is not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In the formula (1), A represents a polymerizable group. A is not particularly limited, and for example, represents an organic group having a polymerizable functional group and 2 to 20 carbon atoms, and optionally having a heteroatom. Specific examples thereof include the following structures, but the polymerizable group is not limited thereto.




embedded image


In the formulae, a broken line represents an attachment point to X.


Examples of A-X, the non-nucleophilic counterion having the polymerizable group, include anions selected from the following formulae (1-A) to (1-C).




embedded image


In the formulae (1-A) to (1-C), RA represents a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group. Among these, a hydrogen atom and a methyl group are preferable.


Z3 represents a single bond, a phenylene group, a naphthylene group, or (main chain) —C(═O)—O—Z31—. Z31 represents an aliphatic hydrocarbylene group having 1 to 10 carbon atoms, a phenylene group or a naphthylene group, the aliphatic hydrocarbylene group optionally having a hydroxy group, an ether bond, an ester bond, or a lactone ring. Z4 represents a single bond, a methylene group, or (Z3)—Z41—C(═O)—O—. Z41 represents a hydrocarbylene group having 1 to 20 carbon atoms and optionally having a heteroatom, an ether bond, or an ester bond. Z5 represents a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenylene group, a phenylene group substituted with a trifluoromethyl group, (main chain) —C(═O)—O—Z31—, (main chain) —C(═O)—N(H)—Z51—, or (main chain) —O—Z51—. Z51 represents an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a fluorinated phenylene group, or a phenylene group substituted with a trifluoromethyl group, and Z51 optionally has a carbonyl group, an ester bond, an ether bond, or a hydroxy group. Here, “(main chain)” represents a bonding part of the above group to the polymer main chain, and “(Z3)” represents a bonding part to the Z3 (the same applies thereafter).


The aliphatic hydrocarbylene group represented by Z31 and Z51 may be any of linear, branched, and cyclic groups. Specific examples thereof include (main chain side) —C(═O)—O—Z101—, or a phenylene group or naphthylene group optionally having an alkoxy group having 1 to 10 carbon atoms and optionally having a fluorine atom, the phenylene group or naphthylene group optionally having a halogen atom. Examples of Z101 include a linear, branched, or cyclic alkanediyl group (aliphatic hydrocarbylene group) having 1 to 10 carbon atoms, a phenylene group or a naphthylene group, the alkanediyl group optionally having a heteroatom, an alkoxy group having 1 to 10 carbon atoms and optionally having a fluorine atom, a hydroxy group, an ether bond, an ester bond, or a lactone ring, but Z101 is not limited thereto. Here, “(main chain side)” represents a bonding part of the above group to the ester bond on the polymer main chain side.


The hydrocarbylene group represented by Z41 may be a saturated or unsaturated group, and any of linear, branched, and cyclic groups. Specific examples thereof include the following groups, but the hydrocarbylene group is not limited thereto.




embedded image


In the formulae, a broken line represents an attachment point.


In the formula (1-A), L11 represents a single bond, an ether bond, an ester bond, a carbonyl group, a sulfonate ester bond, a carbonate bond, or a carbamate bond. Among these, an ether bond, an ester bond, and a carbonyl group are preferable from the viewpoint of the synthesis, and an ester bond and a carbonyl bond are further preferable.


In the formula (1-A), Rf1 and Rf2 each independently represent a fluorine atom or a fluorinated alkyl group having 1 to 6 carbon atoms. Among these, both Rf1 and Rf2 preferably represent fluorine atoms for increasing the acid strength of the generated acid. Rf3 and Rf4 each independently represent a hydrogen atom, a fluorine atom or a fluorinated alkyl group having 1 to 6 carbon atoms. Among these, at least one of Rf3 and Rf4 preferably represents a trifluoromethyl group for improving the solvent solubility.


In the formula (1-A), “c” represents an integer of 0 to 3, and preferably 1.


Specific examples of the anion of the repeating unit represented by the formula (1-A) include the following anions, but the anion is not limited thereto. In the following formulae, RA represents the same as above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In the formula (1-B), L11 represents the same as above.


Rf3 and Rf4 in the formula (1-B) each independently represent a hydrogen atom, a fluorine atom or a fluorinated alkyl group having 1 to 6 carbon atoms. Among these, at least one of Rf3 and Rf4 preferably represents a trifluoromethyl group for improving the solvent solubility.


In the formula (1-B), “c” represents an integer of 0 to 3, and preferably 1.


Specific examples of the anion of the repeating unit represented by the formula (1-B) include the following anions, but the anion is not limited thereto. In the following formulae, RA represents the same as above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Specific examples of the anion of the repeating unit represented by the formula (1-C) include the following anions, but the anion is not limited thereto. In the following formulae, RA represents the same as above.




embedded image


embedded image


Specific examples of the inventive sulfonium salt include any combination of the aforementioned anions and cations.


The structure of the anion of the inventive sulfonium salt is preferably represented by (1-A) from the viewpoint of the acid strength of the generated acid and the solvent solubility.


The inventive sulfonium salt (1) can be synthesized by a known method. For example, a corresponding sulfoxide is firstly reacted with a Grignard reagent in the presence of a halosilicon reagent to synthesize a sulfonium salt having the sulfonium cation. Then, the synthesized sulfonium salt and a corresponding anion can be subjected to a salt-exchange reaction to be converted into the target sulfonium salt. The salt exchange with the corresponding anion can be easily performed by a known method, and JP 2007-145797 A can be referred, for example.


The above manufacturing method is just an example, and a method for manufacturing the inventive sulfonium salt is not limited thereto.


The inventive sulfonium salt has structural features of: the anion structure having the polymerizable group; the acid-labile group bonded instead of the hydrogen atom of the hydroxy group on the aromatic ring of the sulfonium cation; the fluorine-atom-containing substituent; and these groups being bonded to adjacent carbon atoms. The photoacid-generatable sulfonium salt itself having the polymerizable group in the anion portion can be copolymerized with the base resin (base polymer) to inhibit diffusion of the generated acid. The acid-labile group in the cation portion causes a deprotection reaction by a generated acid to generate an aromatic hydroxy group. This generation improves the contrast between the exposed portion and the unexposed portion. The adjacent fluorine-atom-containing substituent increases the solubility of the sulfonium salt itself in a resist solvent, and increases the acidity of the aromatic hydroxy group generated in the exposed portion with its electron withdrawing property. When the resist film is developed with an alkaline developer after the exposure, compatibility between the generated aromatic hydroxy group and the alkaline developer increases to effectively remove the exposed portion with the developer. The aromatic hydroxy group adjacent to the fluorine-atom-containing substituent, which does not attract the alkaline developer to the unexposed portion with the water repellent effect of the fluorine atom compared with a carboxyl group, is considered to have an effect of reducing swelling due to the alkaline developer. This effect inhibits collapse of the resist pattern produced in the unexposed portion. With a synergistic effect of these, using the inventive sulfonium salt can inhibit excessive acid diffusion to form a pattern having high dissolution contrast, excellent LWR of a line pattern and CDU of a hole pattern, and hardly causing collapse. Thus, the inventive sulfonium salt is suitable for the positive-type resist material.


Polymer Photoacid Generator

The present invention provides a polymer photoacid generator being a copolymer of the above sulfonium-salt-type polymerizable monomer. The inventive polymer photoacid generator has the structural features of the sulfonium salt, as described above, and thereby can yield a resist composition that can form a pattern having high dissolution contrast, excellent LWR of a line pattern and CDU of a hole pattern, and hardly causing collapse.


For example, the above sulfonium-salt-type polymerizable monomer can be copolymerized in a base resin (base polymer), as described later, to be suitably used for a photoacid generator. Hereinafter, the repeating unit derived from the above monomer is referred to as the repeating unit A.


The non-nucleophilic counterion A-X having the polymerizable group is an anion portion of the sulfonium-salt-type polymerizable monomer represented by the following formula (1-2). That is, the repeating unit A is a repeating unit formed by polymerizing the polymerizable group A constituting the A-X.





[R11]3-pS+ArfpA-X  (1-2)


Resist Composition

The present invention provides: a base resin having a repeating unit derived from the above sulfonium-salt-type polymerizable monomer; and a resist composition comprising the above base resin. Since being a copolymer of the above sulfonium-salt-type polymerizable monomer, the inventive base resin itself also has a function as a photoacid generator.


The inventive resist composition is preferably a chemically amplified resist composition. Hereinafter, the inventive resist composition will be described with an example of the chemically amplified resist composition.


The inventive chemically amplified resist composition is not particularly limited as long as the composition comprises the base resin having the repeating unit derived from the sulfonium-salt-type polymerizable monomer, and can comprise:

    • (A) a base resin copolymerized by the sulfonium salt represented by the formula (1); and
    • (B) an organic solvent.


The inventive chemically amplified resist composition optionally further comprises, as necessary,

    • (C) a quencher, and
    • (D) another photoacid generator.


The inventive chemically amplified resist composition optionally further comprises, as necessary,

    • (E) a surfactant insoluble or hardly soluble in water and soluble in an alkaline developer; and/or a surfactant insoluble or hardly soluble in water and an alkaline developer.


The inventive chemically amplified resist composition optionally further comprises, as necessary,

    • (F) another component.


(A) Base Resin Copolymerized by Sulfonium Salt Represented by Formula (1)

The base resin (base polymer) of the component (A) is not particularly limited as long as the base resin has the repeating unit derived from the sulfonium-salt-type polymerizable monomer. For example, the base resin has, in addition to the repeating unit A derived from the sulfonium-salt-type monomer represented by the formula (1), a repeating unit represented by the following formula (a1) (hereinafter, also referred to as the repeating unit a1) and a repeating unit represented by the following formula (a2) (hereinafter, also referred to as the repeating unit a2).




embedded image


In the formulae (a1) and (a2), RA each independently represents a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group. ZA represents a single bond, (main chain) —C(═O)—O—ZA1—, a phenylene group or naphthylene group optionally containing an alkoxy group having 1 to 10 carbon atoms and optionally having a fluorine atom, or a phenylene group or naphthylene group optionally containing a halogen atom. ZA1 represents a linear, branched, or cyclic alkanediyl group (aliphatic hydrocarbylene group) having 1 to 20 carbon atom and optionally having a heteroatom, an alkoxy group having 1 to 10 carbon atoms, a phenylene group, or a naphthylene group, the alkanediyl group optionally having a fluorine atom, a hydroxy group, an ether bond, an ester bond, or a lactone ring. ZB represents a single bond or (main chain) —C(═O)—O—. XA and XB each independently represent an acid-labile group.


In the formula (a2), RB represents a monovalent hydrocarbon group, hydrocarbyl group, having 1 to 20 carbon atoms and optionally having a heteroatom. The hydrocarbyl group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include groups same as those exemplified in the description of R12 in the formula (1). “n” represents an integer of 0 to 4, and preferably 0 or 1.


Examples of the acid-labile group represented by XA and XB in the formulae (a1) and (a2) include groups described in JP 2013-80033 A and JP 2013-83821 A.


Typical examples of the acid-labile group include groups represented by the following formulae (AL-1) to (AL-3),




embedded image


wherein a broken line represents an attachment point.


In the formulae (AL-1) and (AL-2), RL1 and RL2 each independently represent a saturated hydrocarbyl group having 1 to 40 carbon atoms and optionally having a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom, and a fluorine atom. The saturated hydrocarbyl group may be any of linear, branched, and cyclic groups. The saturated hydrocarbyl group preferably has 1 to 20 carbon atoms.


In the formula (AL-1), “a” represents an integer of 0 to 10, and preferably an integer of 1 to 5.


In the formula (AL-2), RL3 and RL4 each independently represent a hydrogen atom or a saturated hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom, and a fluorine atom. The saturated hydrocarbyl group may be any of linear, branched, and cyclic groups. Any two of RL2, RL3, and RL4 are optionally bonded each other to form a ring having 3 to 20 carbon atoms together with the carbon atom or with the carbon atom and the oxygen atom to which these groups are bonded. The ring is preferably a ring having 4 to 16 carbon atoms, and particularly preferably an aliphatic ring.


In the formula (AL-3), RL5, RL6, and RL7 each independently represent a saturated hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom, and a fluorine atom. The saturated hydrocarbyl group may be any of linear, branched, and cyclic groups. Any two of RL5, RL6, and RL7 are optionally bonded each other to form a ring having 3 to 20 carbon atoms together with the carbon atom to which these groups are bonded. The ring is preferably a ring having 4 to 16 carbon atoms, and particularly preferably an aliphatic ring.


Examples of the repeating unit a1 include the following repeating units, but the repeating unit is not limited thereto. In the following formulae, RA and XA represent the same as above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the repeating unit a2 include the following repeating units, but the repeating unit is not limited thereto. In the following formulae, RA and XB represent the same as above.




embedded image


embedded image


embedded image


The base polymer preferably further has a repeating unit represented by the following formula (b1) (hereinafter, also referred to as the repeating unit b1) or a repeating unit represented by the following formula (b2) (hereinafter, also referred to as the repeating unit b2).




embedded image


In the formulae (b1) and (b2), RA and ZB represent the same as above. YA represents a hydrogen atom or a polar group having one or more structures selected from a hydroxy group other than a phenolic hydroxy group, a cyano group, a carbonyl group, a carboxy group, an ether bond, an ester bond, a sulfonate ester bond, a sulfonamide bond, a carbonate bond, a lactone ring, a sultone ring, a sulfur atom, and a carboxylic anhydride. “m,” represents an integer of 1 to 4.


YA may be a hydrogen atom or a polar group having one or more structures selected from a hydroxy group other than a phenolic hydroxy group, a cyano group, a carbonyl group, a carboxy group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, and a carboxylic anhydride.


Examples of the repeating unit b1 include the following repeating units, but the repeating unit is not limited thereto. In the following formulae, RA represents the same as above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the repeating unit b2 include the following repeating units, but the repeating unit is not limited thereto. In the following formulae, RA represents the same as above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The repeating unit b1 or b2 particularly preferably has a lactone ring as the polar group in ArF lithography, and preferably has a phenol portion in KrF lithography, EB lithography, and EUV lithography.


The base polymer optionally further has a repeating unit having a structure in which a hydroxy group is protected with an acid-labile group (hereinafter, also referred to as the repeating unit d). The repeating unit d is not particularly limited as long as the repeating unit d has one or two or more structures in which a hydroxy group is protected, and generates the hydroxy group by decomposing the protective group by an action of an acid. The repeating unit d is preferably represented by the following formula (d1).




embedded image


In the formula (d1), RA represents the same as above. R41 represents a (d+1)-valent hydrocarbon group having 1 to 30 carbon atoms and optionally having a heteroatom. R42 represents the acid-labile group. “d” represents an integer of 1 to 4.


In the formula (d1), the acid-labile group represented by R42 is deprotected by an action of an acid to generate the hydroxy group. The structure of R42 is not particularly limited, but an acetal structure, a ketal structure, an alkoxycarbonyl group, an alkoxymethyl group represented by the following formula (d2), etc. are preferable, and an alkoxymethyl group represented by the following formula (d2) is particularly preferable.




embedded image


In the formula, a broken line represents an attachment point. R43 represents a hydrocarbyl group having 1 to 15 carbon atoms.


Specific examples of the acid-labile group represented by R42, the alkoxymethyl group represented by the formula (d2), and the repeating unit d include groups same as those exemplified in the description of the repeating unit d described in JP 2020-111564 A.


The base polymer optionally further has a repeating unit e derived from indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, norbornadiene, or a derivative thereof. Examples of monomers to yield the repeating unit e include the following monomers, but the monomer is not limited thereto.




embedded image


The base polymer optionally further has a repeating unit f derived from indane, vinylpyridine, or vinylcarbazole.


Content rates of the repeating units A, a1, a2, b1, b2, d, e, and f in the inventive polymer are preferably 0<A≤0.4, 0<a1≤0.8, 0≤a2≤0.8, 0≤b1≤0.6, 0≤b2≤0.6, 0≤d≤0.5, 0≤e≤0.3, and 0≤f≤0.3, and more preferably 0<A≤0.3, 0<a1≤0.7, 0≤a≤0.7, 0≤b1≤0.5, 0≤b2≤0.5, 0≤d≤0.3, 0≤e≤0.3, and 0≤f≤0.3.


The polymer preferably has a weight-average molecular weight (Mw) of 1,000 to 500,000, more preferably 3,000 to 100,000. The Mw within this range yields sufficient etching resistance, and has no risk of deterioration in resolution caused by failure to achieve a difference in a dissolution rate before and after the exposure. The Mw in the present invention is a value in terms of polystyrene by gel permeation chromatography (GPC) using tetrahydrofuran (THF) or N,N-dimethylformamide (DMF) as a solvent.


Since a molecular weight distribution (Mw/Mn) of the polymer has a larger effect as the pattern rule becomes smaller, the Mw/Mn is preferably 1.0 to 2.0, which indicates narrow distribution, to obtain the resist composition suitably used for a fine pattern size. Within the above range, polymers having a small molecular weight and a large molecular weight are reduced, and there is no risk of a foreign matter on a pattern and deterioration in a pattern shape after the exposure.


To synthesize the polymer, for example, monomers to yield the aforementioned repeating units and a radical polymerization initiator are added into an organic solvent, and the mixture is heated to perform polymerization.


Examples of the organic solvent used in the polymerization include toluene, benzene, THF, diethyl ether, dioxane, cyclohexane, cyclopentane, methyl ethyl ketone (MEK), propylene glycol monomethyl ether acetate (PGMEA), and γ-butyrolactone (GBL). Examples of the polymerization initiator include 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), 1,1′-azobis(1-acetoxy-1-phenylethane), benzoyl peroxide, and lauroyl peroxide. A commercially available polymerization initiator, such as V-601 (manufactured by Wako Pure Chemical Industries, Ltd.), may also be used. An addition amount of these initiators is preferably 0.01 to 25 mol % relative to a total of the monomers to be polymerized. The reaction temperature is preferably 50 to 150° C., and more preferably 60 to 100° C. The reaction time is preferably 2 to 24 hours, and from the viewpoint of production efficiency, more preferably 2 to 12 hours.


The polymerization initiator may be added into a solution of the monomers and fed into a reaction vessel, or an initiator solution is prepared separately from the monomer solution and each of the solutions may be independently fed into a reaction vessel. Since a radical generated from the initiator may proceed the polymerization reaction during the waiting time to generate a polymer having an ultra-high molecular weight, the monomer solution and the initiator solution are preferably each independently prepared and added dropwise from the viewpoint of quality control. The acid-labile group may be introduced into the monomer to be used as it is, or may be protected or partially protected after the polymerization. To regulate the molecular weight, known chain transfer agents, such as dodecyl mercaptan and 2-mercaptoethanol, may be used in combination. In this case, an addition amount of these chain transfer agents is preferably 0.01 to 20 mol % relative to the total of the monomers to be polymerized.


When the monomer has a hydroxy group, the hydroxy group may be substituted with an acetal group, such as an ethoxyethyl group, easily deprotected by an acid during the polymerization, and the protected hydroxy group may be deprotected by a weak acid and water after the polymerization. Alternatively, the hydroxy group may be substituted with an acetyl group, a formyl group, a pivaloyl group, etc. to be subjected to alkaline hydrolysis after the polymerization.


When hydroxystyrene or hydroxyvinylnaphthalene is copolymerized, hydroxystyrene or hydroxyvinylnaphthalene and the other monomers may be heat-polymerized in the organic solvent with adding the radical polymerization initiator. Alternatively, acetoxystyrene or acetoxyvinylnaphthalene may be used, and the acetoxy group is deprotected with alkaline hydrolysis after the polymerization to be converted into polyhydroxystyrene or polyhydroxyvinylnaphthalene.


As a base in the alkaline hydrolysis, aqueous ammonia, triethylamine, etc. can be used. The reaction temperature is preferably −20 to 100° C., and more preferably 0 to 60° C. The reaction time is preferably 0.2 to 100 hours, and more preferably 0.5 to 20 hours.


An amount of each monomer in the monomer solution is appropriately set so as to be a preferable content rate of the above repeating units, for example.


With the polymer obtained in the manufacturing method, a reaction solution obtained by the polymerization reaction may be a final product. Alternatively, a powder obtained via a purification step, such as reprecipitation method in which the polymerization solution is added into a poor solvent to obtain a powder, may be treated as a final product. From the viewpoints of operation efficiency and quality stabilization, the powder obtained in the purification step is preferably dissolved in a solvent for forming a polymer solution to be operated as a final product.


Specific examples of the solvent used in this case include solvents described in paragraphs [0144] to [0145] of JP 2008-111103 A, and specifically include: ketones, such as cyclohexanone and methyl-2-n-pentylketone; alcohols, such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2-propanol; ethers, such as propylene glycol monomethyl ether (PGME), ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters, such as PGMEA, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono-tert-butyl ether acetate; lactones, such as GBL; ketoalcohols, such as diacetone alcohol (DAA); alcoholic solvents having a high boiling point, such as diethylene glycol, propylene glycol, glycerin, 1,4-butanediol, and 1,3-butanediol; and a mixed solvent thereof.


In the polymer solution, a concentration of the polymer is preferably 0.01 to 30 mass %, and more preferably 0.1 to 20 mass %.


The reaction solution and the polymer solution are preferably filtered with a filter. The filtration can remove a foreign matter and gel, which may cause a defect, and is effective in terms of quality stabilization.


Examples of a material of the filter used for the filtration include a fluorocarbon, a cellulose, a nylon, a polyester, and a hydrocarbon. In the step of filtering the resist composition, the filter is preferably formed with a fluorocarbon, so-called Teflon®, a hydrocarbon such as polyethylene and polypropylene, or nylon. A pore size of the filter can be appropriately selected according to target cleanliness, and is preferably 100 nm or smaller, and more preferably 20 nm or smaller. These filters may be used singly, or may be used in combination of a plurality of these filters. With the filtration method, the solution may be passed through the filter once, but the solution is more preferably circulated to be filtered a plurality of times. In the step for producing the polymer, the filtration step may be performed in any order and times, but the reaction solution after the polymerization reaction, the polymer solution, or both thereof are preferably filtered.


The polymer may be used singly, or may be used in combination of two or more kinds thereof having different composition ratio, Mw, and/or Mw/Mn. The base polymer (A) optionally contains, in addition to the above polymer, a hydrogenated ring-opening metathesis polymer. Polymers described in JP 2003-66612 A can be used.


(B) Organic Solvent

The organic solvent of the component (B) is not particularly limited as long as it can dissolve each component described above and each component described later. Examples of such an organic solvent include: ketones, such as cyclopentanone, cyclohexanone, and methyl-2-n-pentyl ketone; alcohols, such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2-propanol; keto alcohols, such as DAA; ethers, such as PGME, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters, such as PGMEA, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono-tert-butyl ether acetate; lactones, such as GBL; and a mixed solvent thereof.


Among these organic solvents, preferable are 1-ethoxy-2-propanol, PGMEA, cyclohexanone, GBL, DAA, ethyl lactate, and a mixed solvent thereof, which have particularly excellent solubility of the base polymer of the component (A).


A use amount of the organic solvent is preferably 200 to 5,000 parts by mass, and more preferably 400 to 3,500 parts by mass, relative to 80 parts by mass of the base polymer (A). The organic solvent (B) may be used singly, or may be used with mixing two or more kinds thereof.


(C) Quencher

Examples of the quencher (C) include onium salts represented by the following formula (2-1) or (2-2).




embedded image


In the formula (2-1), Rq1 represents a hydrogen atom or a monovalent hydrocarbon group (hydrocarbyl group) having 1 to 40 carbon atoms and optionally having a heteroatom, except for a group in which a hydrogen atom bonded to a carbon atom at the α-position of the sulfo group is substituted with a fluorine atom or a fluoroalkyl group. In the formula (2-2), Rq2 represents a hydrogen atom or a monovalent hydrocarbon group (hydrocarbyl group) having 1 to 40 carbon atoms and optionally having a heteroatom.


Specific examples of the hydrocarbyl group represented by Rq1 include: alkyl groups, such as a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, an n-pentyl group, a tert-pentyl group, an n-hexyl group, an n-octyl group, a 2-ethylhexyl group, an n-nonyl group, and an n-decyl group; cyclic saturated hydrocarbyl groups, such as a cyclopentyl group, a cyclohexyl group, a cyclopentylmethyl group, a cyclopentylethyl group, a cyclopentylbutyl group, a cyclohexylmethyl group, a cyclohexylethyl group, a cyclohexylbutyl group, a norbornyl group, a tricyclo[5.2.1.02,6]decanyl group, and an adamantyl group; and aryl groups, such as a phenyl group, a naphthyl group, and an anthracenyl group. A part or all of hydrogen atoms in these groups are optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, a nitrogen atom, and a halogen atom. Apart of carbon atoms in these groups is optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, and a nitrogen atom. As a result, optionally contained are a hydroxy group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, etc.


Specific examples of the hydrocarbyl group represented by Rq2 include: the substituents exemplified as the specific examples of Rq1; fluorinated alkyl groups, such as a trifluoromethyl group and a trifluoroethyl group; and fluorinated aryl groups, such as a pentafluorophenyl group and a 4-trifluoromethylphenyl group.


Examples of the anion of the onium salt represented by the formula (2-1) include the following anions, but the anion is not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the anion of the onium salt represented by the formula (2-2) include the following anions, but the anion is not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In the formulae (2-1) and (2-2), Mq+ represents an onium cation. Examples of the onium cation include a sulfonium cation (cation-1), an iodonium cation (cation-2), and an ammonium cation (cation-3). Among these, the sulfonium cation (cation-1) and the iodonium cation (cation-2) are preferable, and the sulfonium cation (cation-1) is more preferable. R11 to R19 in the following formulae are applied only in the formulae (cation-1) to (cation-3).




embedded image


In the formulae (cation-1) and (cation-2), R11 to R15 each independently represent a hydrocarbyl group having 1 to 30 carbon atoms and optionally having a heteroatom. The hydrocarbyl group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include: alkyl groups, such as a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, and a tert-butyl group; cyclic saturated hydrocarbyl groups, such as a cyclopropyl group, a cyclopentyl group, a cyclohexyl group, a cyclopropylmethyl group, a 4-methylcyclohexyl group, a cyclohexylmethyl group, a norbornyl group, and an adamantyl group; alkenyl groups, such as a vinyl group, an allyl group, a propenyl group, a butenyl group, and a hexenyl group; cyclic unsaturated hydrocarbyl groups, such as a cyclohexenyl group; aryl groups, such as a phenyl group, a naphthyl group, and a thienyl group; aralkyl groups, such as a benzyl group, a 1-phenylethyl group, and a 2-phenylethyl group; and groups obtained by combining these groups. The hydrocarbyl group is preferably an aryl group. A part of hydrogen atoms in the hydrocarbyl group is optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, a nitrogen atom, and a halogen atom. Between carbon atoms in these groups, a group having a heteroatom such as an oxygen atom, a sulfur atom, and a nitrogen atom is optionally interposed. As a result, optionally contained are a hydroxy group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, etc.


R11 and R12 are optionally bonded each other to form a ring together with the sulfur atom to which R11 and R12 are bonded. In this case, examples of the sulfonium cation represented by the formula (cation-1) include cations represented by the following formulae.




embedded image


In the formulae, a broken line represents an attachment point to R13.


Examples of the sulfonium cation represented by the formula (cation-1) include the following cations, but the sulfonium cation is not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the iodonium cation represented by the formula (cation-2) include the following cations, but the iodonium cation is not limited thereto.




embedded image


In the formula (cation-3), R16 to R19 each independently represent a hydrocarbyl group having 1 to 40 carbon atoms and optionally having a heteroatom. R16 and R17 are optionally bonded each other to form a ring together with the nitrogen atom to which R16 and R17 are bonded. Examples of the hydrocarbyl group include groups same as those exemplified in the description of R11 to R15 in the formulae (cation-1) and (cation-2).


Examples of the ammonium cation represented by the formula (cation-3) include the following cations, but the ammonium cation is not limited thereto.




embedded image


Specific examples of the onium salt represented by the formula (2-1) or (2-2) include any combination of the aforementioned anions and cations. These onium salts are easily prepared by an ion-exchange reaction using a known organic chemical method. About the ion-exchange reaction, JP 2007-145797 A can be referred, for example.


The onium salt represented by the formula (2-1) or (2-2) acts as a quencher in the inventive chemically amplified resist composition. This is because each counter anion of the onium salt is a conjugated base of a weak acid. The weak acid herein means an acid exhibiting acidity that cannot deprotect the acid-labile group in the acid-labile group-containing unit used for the base polymer.


The onium salt represented by the formula (2-1) or (2-2) functions as a quencher when used in combination with an onium-salt type photoacid generator having a conjugated base of a strong acid, such as an α-fluorinated sulfonic acid, as a counter anion. That is, when an onium salt to generate a strong acid, such as an α-fluorinated sulfonic acid, and an onium salt to generate a weak acid, such as non-fluorinated sulfonic acid and a carboxylic acid, are mixed to be used, the strong acid generated from the photoacid generator by high-energy ray irradiation collides the unreacted onium salt having the weak acid anion to release the weak acid with salt exchange, resulting in generation of an onium salt having the strong acid anion. This process exchanges the strong acid into the weak acid having low catalytic ability, and the acid is apparently deactivated to enable to control the acid diffusion.


Usable for the quencher (C) are: an onium salt having a sulfonium cation and a phenoxide anion portion in the same molecule, described in JP 6848776 B; an onium salt having a sulfonium cation and a carboxylate anion portion in the same molecule, described in JP 6583136 B and JP 2020-200311 A; and an onium salt having an iodonium cation and a carboxylate anion portion in the same molecule, descried in JP 6274755 B.


When the photoacid generator to generate the strong acid is an onium salt, the strong acid generated by high-energy ray irradiation can be exchanged into the weak acid, as described above. Meanwhile, it is considered that the weak acid generated by high-energy ray irradiation hardly collides the unreacted onium salt to generate the strong acid to cause salt exchange. This is because of a phenomenon that an onium cation is more likely to form an ion pair with an anion of a stronger acid.


When the onium salt represented by the formula (2-1) or (2-2) is contained as the onium-salt type quencher (C), a content thereof is preferably 0.1 to 20 parts by mass, and more preferably 0.1 to 10 parts by mass, relative to 80 parts by mass of the base polymer (A). The onium-salt type quencher of the component (C) within the above range is preferable in terms of good resolution without considerable deterioration in the sensitivity. The onium salt represented by the formula (2-1) or (2-2) can be used singly, or used in combination of two or more kinds thereof.


The inventive chemically amplified resist composition may further comprise a nitrogen-containing quencher as the component (C). In the present invention, the nitrogen-containing quencher is referred to a material that traps the acid generated from the photoacid generator in the chemically amplified resist composition for inhibiting the diffusion toward an unexposed portion to form a desired pattern.


Examples of the nitrogen-containing quencher of the component (C) include primary, secondary, or tertiary amine compounds described in paragraphs [0146] to [0164] of JP 2008-111103 A, in particular, amine compounds having a hydroxy group, an ether bond, an ester bond, a lactone ring, a cyano group, or a sulfonate ester bond. Examples thereof also include compounds in which a primary or secondary amine is protected with a carbamate group, as compounds described in JP 3790649 B.


As the nitrogen-containing quencher, a sulfonium sulfonate salt having a nitrogen-containing substituent may also be used. Such a compound functions as a so-called photodegradable base. The photodegradable base functions as a quencher in an unexposed portion, and losses the quenching ability by neutralization with a generated acid of the photodegradable base itself in an exposed portion. Using the photodegradable base can further enhance the contrast between the exposed portion and the unexposed portion. As the photodegradable base, JP 2009-109595 A and JP 2012-46501 A can be referred, for example.


When the nitrogen-containing quencher of the component (C) is contained, a content thereof is preferably 0.001 to 12 parts by mass, and more preferably 0.01 to 8 parts by mass, relative to 80 parts by mass of the base polymer (A). The nitrogen-containing compound may be used singly, or may be used in combination of two or more kinds thereof.


(D) Other Photoacid Generator

The inventive chemically amplified resist composition optionally includes a photoacid generator other than the photoacid generator copolymerized with the base polymer of the component (A), as a component (D) (hereinafter, also referred to as the other photoacid generator). The other photoacid generator is not particularly limited as long as it is a compound to generate an acid with high-energy ray irradiation. Examples of preferable other photoacid generators include photoacid generators represented by the following formula (3) or (4).




embedded image


In the formulae (3) and (4), R101 to R105 each independently represent a hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom. Any two of R101, R102, and R103 are optionally bonded each other to form a ring together with the sulfur atom to which R101, R102, and R103 are bonded. Examples of the hydrocarbyl group include groups same as those exemplified in the description of R11 to R15 in the formulae (cation-1) and (cation-2).


In the formula (3), examples of the sulfonium cation include cations same as those exemplified as the sulfonium cation represented by the formula (cation-1).


In the formula (4), examples of the iodonium cation include cations same as those exemplified as the iodonium cation represented by the formula (cation-2).


In the formulae (3) or (4), Xa represents a non-nucleophilic counterion. Xa may or may not have a polymerizable group. Examples of the non-nucleophilic counterion include: halide ions, such as a chloride ion and bromide ion; fluoroalkylsulfonate ions, such as a triflate ion, a 1,1,1-trifluoroethanesulfonate ion, and a nonafluorobutanesulfonate ion; arylsulfonate ions, such as a tosylate ion, a benzenesulfonate ion, a 4-fluorobenzenesulfonate ion, and a 1,2,3,4,5-pentafluorobenzenesulfonate ion; alkylsulfonate ions, such as a mesylate ion and a butanesulfonate ion; imide ions, such as a bis(trifluoromethylsulfonyl)imide ion, a bis(perfluoroethylsulfonyl)imide ion, and a bis(perfluorobutylsulfonyl)imide ion; and methide ions, such as a tris(trifluoromethylsulfonyl)methide ion and a tris(perfluoroethylsulfonyl)methide ion.


Other examples of the non-nucleophilic counterion include anions selected from the following formulae (1A) to (1D).




embedded image


In the formula (1A), Rfa represents a fluorine atom or a hydrocarbyl group having 1 to 40 carbon atoms and optionally having a heteroatom. The hydrocarbyl group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include groups same as those exemplified as a hydrocarbyl group represented by Rfa1 in the formula (1A′), described later.


The anion represented by the formula (1A) is preferably represented by the following formula (1A′).




embedded image


In the formula (1A′), Q1 and Q2 each independently represent a hydrogen atom, a fluorine atom, or a fluorinated alkyl group having 1 to 6 carbon atoms. To improve the solvent solubility, at least one of Q1 and Q2 preferably represents a trifluoromethyl group. “k” represents an integer of 0 to 4, and particularly preferably 1. Rfa1 represents a hydrocarbyl group having 1 to 50 carbon atoms and optionally having a heteroatom. The heteroatom is preferably an oxygen atom, a nitrogen atom, a sulfur atom, a halogen atom, etc., and more preferably an oxygen atom. The hydrocarbyl group particularly preferably has 6 to 30 carbon atoms in terms of obtaining high resolution in fine pattern formation.


The hydrocarbyl group represented by Rfa1 in the formula (1A′) may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include: alkyl groups having 1 to 38 carbon atoms, such as a methyl group, an ethyl group, an n-propyl group, an isopropyl group, a butyl group, an isobutyl group, a sec-butyl group, a tert-butyl group, a pentyl group, a neopentyl group, a hexyl group, a heptyl group, a 2-ethylhexyl group, a nonyl group, an undecyl group, a tridecyl group, a pentadecyl group, a heptadecyl group, and an icosanyl group; cyclic saturated hydrocarbyl groups having 3 to 38 carbon atoms, such as a cyclopentyl group, a cyclohexyl group, a 1-adamantyl group, a 2-adamantyl group, a 1-adamantylmethyl group, a norbornyl group, a norbornylmethyl group, a tricyclodecanyl group, a tetracyclododecanyl group, a tetracyclododecanylmethyl group, and a dicyclohexylmethyl group; unsaturated aliphatic hydrocarbyl groups having 2 to 38 carbon atoms, such as an allyl group and a 3-cyclohexenyl group; aryl groups having 6 to 38 carbon atoms, such as a phenyl group, a 1-naphthyl group, a 2-naphthyl group, and a 9-fluorenyl group; aralkyl groups having 7 to 38 carbon atoms, such as a benzyl group and a diphenylmethyl group; and groups obtained by combining these groups.


A part or all of hydrogen atoms in the hydrocarbyl group are optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, a nitrogen atom, and a halogen atom. A part of —CH2— in the hydrocarbyl group is optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, and a nitrogen atom. As a result, optionally contained are a hydroxy group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, a cyano group, a nitro group, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride (—C(═O)—O—C(═O)—), a haloalkyl group, etc. Examples of the hydrocarbyl group having a heteroatom include a tetrahydrofuryl group, a methoxymethyl group, an ethoxymethyl group, a methylthiomethyl group, an acetamidomethyl group, a trifluoroethyl group, a (2-methoxyethoxy)methyl group, an acetoxymethyl group, a 2-carboxy-1-cyclohexyl group, a 2-oxopropyl group, a 4-oxo-1-adamantyl group, and a 3-oxocyclohexyl group.


In the formula (1A′), La1 represents a single bond, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, or a carbamate bond. From the viewpoint of the synthesis, La1 preferably represents an ether bond or an ester bond, and further preferably an ester bond.


Examples of the anion represented by the formula (1A) include the following anions, but the anion is not limited thereto. In the following formulae, Q1 represents the same as above, and Ac represents an acetyl group.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In the formula (1B), Rfb1 and Rfb2 each independently represent a fluorine atom, or a hydrocarbyl group having 1 to 40 carbon atoms and optionally having a heteroatom. The hydrocarbyl group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include groups same as those exemplified as the hydrocarbyl group represented by Rfa1 in the formula (1A′). Rfb1 and Rfb2 preferably represent a fluorine atom or a linear fluorinated alkyl group having 1 to 4 carbon atoms. Rfb1 and Rfb2 are optionally bonded each other to form a ring together with a bond (—CF2—SO2—N—SO2—CF2—) to which Rfb1 and Rfb2 are bonded. In this case, the group obtained by bonding Rfb1 and Rfb2 each other is preferably a fluorinated ethylene group or a fluorinated propylene group.


In the formula (1C), Rfc1, Rfc2, and Rfc3 each independently represent a fluorine atom, or a hydrocarbyl group having 1 to 40 carbon atoms and optionally having a heteroatom. The hydrocarbyl group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include groups same as those exemplified as the hydrocarbyl group represented by Rfa1 in the formula (1A′). Rfc1, Rfc2, and Rfc3 preferably represent a fluorine atom or a linear fluorinated alkyl group having 1 to 4 carbon atoms. Rfc1 and Rfc2 are optionally bonded each other to form a ring together with a bond (—CF2—SO2—C—SO2—CF2—) to which Rfc1 and Rfc2 are bonded. In this case, the group obtained by bonding Rfc1 and Rfc2 each other is preferably a fluorinated ethylene group or a fluorinated propylene group.


In the formula (1D), Rfd represents a hydrocarbyl group having 1 to 40 carbon atoms and optionally having a heteroatom. The hydrocarbyl group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include groups same as those exemplified as the hydrocarbyl group represented by Rfa1 in the formula (1A′).


Examples of the anion represented by the formula (1D) include the following anions, but the anion is not limited thereto.




embedded image


embedded image


Examples of the non-nucleophilic counterion further include an anion having an aromatic ring substituted with an iodine atom or a bromine atom. Examples of such an anion include anions represented by the following formula (1E).




embedded image


In the formula (1E), “x,” represents an integer satisfying 1≤c≤3. “y” and “z” represent an integer satisfying 1≤y≤5, 0≤z≤3, and 1≤y+z≤5. “y” preferably represents an integer satisfying 1≤y≤3, and more preferably 2 or 3. “z” preferably represents an integer satisfying 0≤z≤2.


In the formula (1E), XBI represents an iodine atom or a bromine atom. When “x” and/or “y” represent 2 or more, XBI may be same as or different from each other.


In the formula (1E), L1 represents a single bond, an ether bond, an ester bond, or a saturated hydrocarbylene group having 1 to 6 carbon atoms and optionally having an ether bond or an ester bond. The saturated hydrocarbylene group may be any of linear, branched, and cyclic groups.


In the formula (1E), L2 represents a single bond or a divalent linking group having 1 to 20 carbon atoms when “x” represents 1, and L2 represents a (x+1)-valent linking group having 1 to 20 carbon atoms when “x” represents 2 or 3. The linking group optionally has an oxygen atom, a sulfur atom, or a nitrogen atom.


In the formulae (E1), R8 represents a hydroxy group, a carboxy group, a fluorine atom, a chlorine atom, a bromine atom, an amino group, a hydrocarbyl group having 1 to 20 carbon atoms, a hydrocarbyloxy group having 1 to 20 carbon atoms, a hydrocarbylcarbonyl group having 2 to 20 carbon atoms, a hydrocarbyloxycarbonyl group having 2 to 10 carbon atoms, a hydrocarbylcarbonyloxy group having 2 to 20 carbon atoms, a hydrocarbylsulfonyloxy group having 1 to 20 carbon atoms, —N(R8A) (R8B), —N(R8C)—C(═O)—R8D, or —N(R8C)—C(═O)—O—R8D. The hydrocarbyl group, the hydrocarbyloxy group, saturated hydrocarbylcarbonyl group, the hydrocarbyloxycarbonyl group, the hydrocarbylcarbonyloxy group, and the hydrocarbylsulfonyloxy group optionally have a fluorine atom, a chlorine atom, a bromine atom, a hydroxy group, an amino group, or an ether bond. R8A and R8B each independently represent a hydrogen atom or a saturated hydrocarbyl group having 1 to 6 carbon atoms. R8C represents a hydrogen atom or a saturated hydrocarbyl group having 1 to 6 carbon atoms and optionally having a halogen atom, a hydroxy group, a saturated hydrocarbyloxy group having 1 to 6 carbon atoms, a saturated hydrocarbylcarbonyl group having 2 to 6 carbon atoms, or a saturated hydrocarbylcarbonyloxy group having 2 to 6 carbon atoms. R8D represents an aliphatic hydrocarbyl group having 1 to 16 carbon atoms, an aryl group having 6 to 12 carbon atoms, or an aralkyl group having 7 to 15 carbon atoms, and optionally having a halogen atom, a hydroxy group, a saturated hydrocarbyloxy group having 1 to 6 carbon atoms, a saturated hydrocarbylcarbonyl group having 2 to 6 carbon atoms, or a saturated hydrocarbylcarbonyloxy group having 2 to 6 carbon atoms. The aliphatic hydrocarbyl group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. The hydrocarbyl group, the hydrocarbyloxy group, the hydrocarbylcarbonyl group, the hydrocarbyloxycarbonyl group, the hydrocarbylcarbonyloxy group, and the hydrocarbylsulfonyloxy group may be any of linear, branched, and cyclic groups. When “x” and/or “z” represent 2 or more, each R8 may be same as or different from each other.


Among these, R8 preferably represents a hydroxy group, —N(R8C) —C(═O)—R8D, —N(R8C) —C(═O)—O—R8D, a fluorine atom, a chlorine atom, a bromine atom, a methyl group, and a methoxy group, etc.


In the formula (1E), Rf1 to Rf4 each independently represent a hydrogen atom, a fluorine atom, or a trifluoromethyl group, and at least one of them represents a fluorine atom or a trifluoromethyl group. Rf1 and Rf2 are optionally integrated to form a carbonyl group. In particular, both of Rf3 and Rf4 preferably represent fluorine atoms. Rf1 to Rf4 herein are applied only in the formula (1E).


Examples of the anion of the onium salt represented by the formula (1E) include the following anions, but the anion is not limited thereto. In the following formulae, XBI represents the same as above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Usable as the non-nucleophilic counterion are: a fluorobenzenesulfonate anion bonded to an aromatic group having an iodine atom, described in JP 6648726 B; an anion having a mechanism in which the anion is decomposed by an acid, described in WO 2021/200056 and JP 2021-070692 A; an anion having a cyclic ether group, described in JP 2018-180525 A and JP 2021-35935 A; and an anion described in JP 2018-092159 A.


In addition, usable as the non-nucleophilic counterion are: an anion of a balky benzenesulfonic acid derivative having no fluorine atom, described in JP 2006-276759 A, JP 2015-117200 A, JP 2016-65016 A, and JP 2019-202974 A; and a benzenesulfonate anion or alkylsulfonate anion having no fluorine atom and bonded to an aromatic group having an iodine atom, described in JP 6645464 B.


In addition, usable as the non-nucleophilic counterion are: an anion of a bissulfonic acid, described in JP 2015-206932 A; an anion having a sulfonate on one side and a sulfonamide or sulfonimide differing therefrom on the other side, described in WO 2020/158366; and an anion having a sulfonate on one side and a carboxylate on the other side, described in JP 2015-024989 A.


As the other photoacid generator of the component (D), a photoacid generator represented by the following formula (5) is also preferable.




embedded image


In the formula (5), R201 and R202 each independently represent a hydrocarbyl group having 1 to 30 carbon atoms and optionally having a heteroatom. R203 represents a hydrocarbylene group having 1 to 30 carbon atoms and optionally having a heteroatom. Any two of R201, R202, and R203 are optionally bonded each other to form a ring together with the sulfur atom to which R201, R202, and R203 are bonded.


The hydrocarbyl group represented by R201 and R202 may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include: alkyl groups having 1 to 30 carbon atoms, such as a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, a tert-pentyl group, an n-pentyl group, an n-hexyl group, an n-octyl group, a 2-ethylhexyl group, an n-nonyl group, and an n-decyl group; cyclic saturated hydrocarbyl groups having 3 to 30 carbon atoms, such as a cyclopentyl group, a cyclohexyl group, a cyclopentylmethyl group, a cyclopentylethyl group, a cyclopentylbutyl group, a cyclohexylmethyl group, a cyclohexylethyl group, a cyclohexylbutyl group, a norbornyl group, an oxanorbornyl group, a tricyclo[5.2.1.02,6]decanyl group, and an adamantyl group; aryl groups having 6 to 30 carbon atoms, such as a phenyl group, a methylphenyl group, an ethylphenyl group, an n-propylphenyl group, an isopropylphenyl group, an n-butylphenyl group, an isobutylphenyl group, a sec-butylphenyl group, a tert-butylphenyl group, a naphthyl group, a methylnaphthyl group, an ethylnaphthyl group, an n-propylnaphthyl group, an isopropylnaphthyl group, an n-butylnaphthyl group, an isobutylnaphthyl group, a sec-butylnaphthyl group, a tert-butylnaphthyl group, and an anthracenyl group; and groups obtained by combining these groups. A part or all of hydrogen atoms in the hydrocarbyl groups is optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, a nitrogen atom, and a halogen atom. A part of —CH2— constituting the hydrocarbyl groups is optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, and a nitrogen atom. As a result, optionally contained are a hydroxy group, a cyano group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate group, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, etc.


The hydrocarbylene group represented by R203 may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include: alkanediyl groups having 1 to 30 carbon atoms, such as a methanediyl group, an ethane-1,1-diyl group, an ethane-1,2-diyl group, a propane-1,3-diyl group, a butane-1,4-diyl group, a pentane-1,5-diyl group, a hexane-1,6-diyl group, a heptane-1,7-diyl group, an octane-1,8-diyl group, a nonane-1,9-diyl group, a decane-1,10-diyl group, an undecane-1,11-diyl group, a dodecane-1,12-diyl group, a tridecane-1,13-diyl group, a tetradecane-1,14-diyl group, a pentadecane-1,15-diyl group, a hexadecane-1,16-diyl group, and a heptadecane-1,17-diyl group; cyclic saturated hydrocarbylene groups having 3 to 30 carbon atoms, such as a cyclopentanediyl group, a cyclohexanediyl group, a norbornanediyl group, and an adamantanediyl group; and cyclic unsaturated hydrocarbylene groups, such as a phenylene group, a methylphenylene group, an ethylphenylene group, an n-propylphenylene group, an isopropylphenylene group, an n-butylphenylene group, an isobutylphenylene group, a sec-butylphenylene group, a tert-butylphenylene group, a naphthylene group, a methylnaphthylene group, an ethylnaphthylene group, an n-propylnaphthylene group, an isopropylnaphthylene group, an n-butylnaphthylene group, an isobutylnaphthylene group, a sec-butylnaphthylene group, and a tert-butylnaphthylene group. A part or all of hydrogen atoms in the hydrocarbylene groups are optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, a nitrogen atom, and a halogen atom. A part of —CH2— constituting the hydrocarbylene groups is optionally substituted with a group having a heteroatom, such as an oxygen atom, a sulfur atom, and a nitrogen atom. As a result, optionally contained are a hydroxy group, a cyano group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate group, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, etc. The heteroatom is preferably an oxygen atom.


In the formula (5), LA represents a single bond, an ether bond, or a hydrocarbylene group having 1 to 20 carbon atoms and optionally having a heteroatom. The hydrocarbylene group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include groups same as those exemplified as the hydrocarbylene group represented by R203.


In the formula (5), Xa, Xb, Xc, and Xd each independently represent a hydrogen atom, a fluorine atom, or a trifluoromethyl group. At least one of Xa, Xb, Xc, and Xd represents a fluorine atom or a trifluoromethyl group.


The photoacid generator represented by the formula (5) is preferably a photoacid generator represented by the following formula (5′).




embedded image


In the formula (5′), LA represents the same as above. Xe represents a hydrogen atom or a trifluoromethyl group, and preferably a trifluoromethyl group. R301, R302, and R303 each independently represent a hydrogen atom or a hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom. The hydrocarbyl group may be a saturated or unsaturated group, and may be any of linear, branched, and cyclic groups. Specific examples thereof include groups same as R12 in the formula (1). “m1” and “m2” each independently represent an integer of 0 to 5. “m3” represents an integer of 0 to 4.


Examples of the photoacid generator represented by the formula (5) include photoacid generators same as those exemplified as photoacid generators represented by the formula (2) in JP 2017-026980 A.


Among the above other photoacid generators, the photoacid generators having the anion represented by the formula (1A′) or (1D) are particularly preferable since having small acid diffusion and excellent solubility in the solvent. The photoacid generators represented by the formula (5′) is particularly preferable since having extremely small acid diffusion.


When the other photoacid generator of the component (D) is contained, a content thereof is preferably 0.1 to 40 parts by mass, and more preferably 0.5 to 20 parts by mass, relative to 80 parts by mass of the base polymer (A). The addition amount of the photoacid generator of the component (D) within the above range is preferable because of good resolution and no risk of generation of a foreign matter problem after the development or during the removal of the resist film. The other photoacid generator of the component (D) may be used singly, or may be used in combination of two or more kinds thereof.


(E) Surfactant Insoluble or Hardly Soluble in Water and Soluble in Alkaline Developer; and/or Surfactant Insoluble or Hardly Soluble in Water and Alkaline Developer


The inventive chemically amplified resist composition optionally further comprises: (E) a surfactant insoluble or hardly soluble in water and soluble in an alkaline developer; and/or a surfactant insoluble or hardly soluble in water and an alkaline developer. As such a surfactant, surfactants described in JP 2010-215608 A and JP 2011-16746 A can be referred.


Among the surfactants described in the above patent publications, the surfactant insoluble or hardly soluble in water and an alkaline developer is preferably FC-4430 (manufactured by 3M Company), SURFLON® S-381 (manufactured by AGC Seimi Chemical Co., Ltd.), OLFIN® E1004 (manufactured by Nissin Chemical Industry Co., Ltd.), KH-20 and KH-30 (manufactured by AGC Seimi Chemical Co., Ltd.), and an oxetane ring-opening polymerized product represented by the following formula (surf-1).




embedded image


Here, R, Rf, A, B, C, “m”, and “n” are applied only in the formula (surf-1) regardless of the above description. R represents a divalent to tetravalent aliphatic group having 2 to 5 carbon atoms. Examples of the divalent aliphatic group include an ethylene group, a 1,4-butylene group, a 1,2-propylene group, a 2,2-dimethyl-1,3-propylene group, and a 1,5-pentylene group. Examples of the trivalent or tetravalent aliphatic group include the following groups.




embedded image


In the formula, a broken line represents an attachment point. The groups are partial structures derived from glycerol, trimethylolethane, trimethylolpropane, and pentaerythritol, respectively.


Among these, a 1,4-butylene group and a 2,2-dimethyl-1,3-propylene group are preferable.


Rf represents a trifluoromethyl group or a pentafluoroethyl group, and preferably a trifluoromethyl group. “m” represents an integer of 0 to 3. “n” represents an integer of 1 to 4. A sum of “n” and “m”, which represents a valency of R, represents an integer of 2 to 4. A represents 1. B represents an integer of 2 to 25, and preferably represents an integer of 4 to 20. C represents an integer of 0 to 10, and preferably represents 0 or 1. With each constituting unit in the formula (surf-1), the order is not stipulated, and may be block-bonded or random-bonded. Manufacturing of the surfactant of the partially fluorinated oxetane ring-opening polymerized product is described in detail in U.S. Pat. No. 5,650,483 B.


In the ArF immersion exposure without a resist protective film, the surfactant insoluble or hardly soluble in water and soluble in an alkaline developer has a function of reducing penetration of water or leaching by orientation on a surface of the resist film. Thus, such a surfactant is useful for inhibiting elution of a water-soluble component from the resist film to reduce damage of an exposure apparatus. Such a surfactant is also useful because such a surfactant becomes soluble during development with an alkaline aqueous solution after the exposure and after post exposure bake (PEB), and hardly forms a foreign matter causing a defect. Such a surfactant, which has a property of being insoluble or hardly soluble in water and soluble in an alkaline developer, is preferably a polymer surfactant, which is also referred to as a hydrophobic resin. In particular, such a surfactant preferably has high water repellency and improves water-slipping property.


Examples of such a polymer surfactant include a polymer having at least one selected from repeating units represented by any one of the following formulae (8A) to (8E).




embedded image


In the formulae (8A) to (8E), RB represents a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group. W1 represents —CH2—, —CH2CH2—, —O—, or separated two —H. Rs1 each independently represents a hydrogen atom or a hydrocarbyl group having 1 to 10 carbon atoms. Rs2 represents a single bond or a linear or branched hydrocarbylene group having 1 to 5 carbon atoms. Rs3 each independently represents a hydrogen atom, a hydrocarbyl group or fluorinated hydrocarbyl group having 1 to 15 carbon atoms, or an acid-labile group. When Rs3 represents a hydrocarbyl group or a fluorinated hydrocarbyl group, an ether bond or a carbonyl group is optionally interposed between a carbon-carbon bond. Rs4 represents a (u+1)-valent hydrocarbon group or fluorinated hydrocarbon group having 1 to 20 carbon atoms. “u” represents an integer of 1 to 3. Rs5 each independently represents a hydrogen atom or a group represented by —C(═O)—O—Rs7. Rs7 represents a fluorinated hydrocarbyl group having 1 to 20 carbon atoms. Rs6 represents a hydrocarbyl group or fluorinated hydrocarbyl group having 1 to 15 carbon atoms, and an ether bond or a carbonyl group is optionally interposed between a carbon-carbon bond thereof. Here, the parameters such as RB and “u” are applied only in the formulae (8A) to (8E).


The hydrocarbyl group represented by Rs1 may be any of linear, branched, and cyclic groups. Specific examples thereof include a methyl group, an ethyl group, an n-propyl group, an isopropyl group, a cyclopropyl group, an n-butyl group, an isobutyl group, a sec-butyl group, a tert-butyl group, a cyclobutyl group, an n-pentyl group, a cyclopentyl group, an n-hexyl group, a cyclohexyl group, an n-heptyl, an n-octyl group, an n-nonyl group, an n-decyl group, an adamantyl group, and a norbornyl group. Among these, groups having 1 to 6 carbon atoms are preferable.


The hydrocarbylene group represented by Rs2 may be any of linear, branched, and cyclic groups. Specific examples thereof include a methylene group, an ethylene group, a propylene group, a butylene group, and a pentylene group.


The hydrocarbyl group represented by Rs3 or Rs6 may be any of linear, branched, and cyclic groups. Specific examples thereof include alkyl groups, alkenyl groups, and alkynyl groups, and the hydrocarbyl group is preferably alkyl groups. Examples of the alkyl group include the groups exemplified as the hydrocarbyl group represented by Rs1, and in addition, an n-undecyl group, an n-dodecyl group, a tridecyl group, a tetradecyl group, and a pentadecyl group. Examples of the fluorinated hydrocarbyl group represented by Rs3 or Rs6 include groups in which a part or all of hydrogen atoms bonded to a carbon atom in the above hydrocarbyl group are substituted with a fluorine atom. As described above, an ether bond or a carbonyl group is optionally interposed between a carbon-carbon bond thereof.


Examples of the acid-labile group represented by Rs3 include: the groups represented by the aforementioned formulae (AL-1) to (AL-3); tertiary hydrocarbyl groups having 4 to 20, preferably 4 to 15, carbon atoms; trialkylsilyl groups in which each alkyl group has 1 to 6 carbon atoms; and an oxoalkyl groups having 4 to 20 carbon atoms.


The (u+1)-valent hydrocarbon group or fluorinated hydrocarbon group represented by Rs4 may be any of linear, branched, and cyclic groups. Specific examples thereof include groups obtained by further removing u hydrogen atoms from the above hydrocarbyl group, fluorinated hydrocarbyl group, etc.


The fluorinated hydrocarbyl group represented by Rs7 may be any of linear, branched, and cyclic groups. Specific examples thereof include groups in which a part or all of hydrogen atoms in the above hydrocarbyl group are substituted with a fluorine atom. Specific examples thereof include a trifluoromethyl group, a 2,2,2-trifluoroethyl group, a 3,3,3-trifluoro-1-propyl group, a 3,3,3-trifluoro-2-propyl group, a 2,2,3,3-tetrafluoropropyl group, a 1,1,1,3,3,3-hexafluoroisopropyl group, a 2,2,3,3,4,4,4-heptafluorobutyl group, a 2,2,3,3,4,4,5,5-octafluoropentyl group, a 2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoroheptyl group, a 2-(perfluorobutyl)ethyl group, a 2-(perfluorohexyl)ethyl group, a 2-(perfluorooctyl)ethyl group, and a 2-(perfluorodecyl)ethyl group.


Examples of the repeating units represented by any one of the formulae (8A) to (8E) include the following repeating units, but the repeating unit is not limited thereto. In the following formulae, RB represents the same as above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The polymer surfactant optionally further has a repeating unit other than the repeating units represented by the formulae (8A) to (8E). Examples of the other repeating unit include repeating units obtained from methacrylic acid, an α-trifluoromethylacrylic acid derivative, etc. In the polymer surfactant, a content of the repeating units represented by the formulae (8A) to (8E) is preferably 20 mol % or more, more preferably 60 mol % or more, and further preferably 100 mol % in all the repeating units.


Mw of the polymer surfactant is preferably 1,000 to 500,000, and more preferably 3,000 to 100,000. Mw/Mn is preferably 1.0 to 2.0, and more preferably 1.0 to 1.6.


Examples of a method for synthesizing the polymer surfactant include the following method. Into monomers having an unsaturated bond to yield the repeating unit represented by the formulae (8A) to (8E) and, as necessary, the other repeating unit in an organic solvent, and a radical initiator is added and heated to be polymerized. Examples of the organic solvent used in the polymerization include toluene, benzene, THF, diethyl ether, and dioxane. Examples of the polymerization initiator include AIBN, 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), benzoyl peroxide, and lauroyl peroxide. The reaction temperature is preferably 50 to 100° C. The reaction time is preferably 4 to 24 hours. The acid-labile group may be introduced into the monomer to be used as it is, or may be protected or partially protected after the polymerization.


When the polymer surfactant is synthesized, known chain transfer agents, such as dodecyl mercaptan and 2-mercaptoethanol, may be used to regulate the molecular weight. In this case, an addition amount of these chain transfer agents is preferably 0.01 to 10 mol % relative to the total number of moles of the monomers to be polymerized.


When the surfactant of the component (E) is contained, a content thereof is preferably 0.1 to 50 parts by mass, and more preferably 0.5 to 10 parts by mass, relative to 80 parts by mass of the base polymer (A). When the addition amount is 0.1 part by mass or more, a sweepback contact angle between the resist film surface and water is sufficiently improved. When the addition amount is 50 parts by mass or less, the resist film surface has a low dissolution rate in the developer to sufficiently maintain the height of the formed fine pattern.


(F) Other Components

The inventive chemically amplified resist composition optionally includes: compounds to be decomposed by an acid to generate an acid (acid amplifying compounds); organic acid derivatives; fluorine-substituted alcohols; compounds to change in its solubility in a developer by an action of an acid, the compound having Mw of 3,000 or less (dissolution inhibitors); etc. as another component (F). As the acid amplifying compound, compounds described in JP 2009-269953 A or JP 2010-215608 A can be referred. When the acid amplifying compound is contained, a content thereof is preferably 0 to 5 parts by mass, and more preferably 0 to 3 parts by mass, relative to 80 parts by mass of the base polymer (A). If the content is too high, it is difficult to control the acid diffusion, and deterioration in resolution and deterioration in the pattern shape may occur. As the organic acid derivative, the fluorine-substituted alcohol, and the dissolution inhibitor, compounds described in JP 2009-269953 A or JP 2010-215608 A can be referred.


Patterning Process

The inventive patterning process comprises steps of: forming a resist film on a substrate using the aforementioned chemically amplified resist composition; exposing the resist film to high energy ray, such as i-line, KrF excimer laser light, ArF excimer laser light, electron beam (EB), or extreme ultraviolet ray (EUV) having a wavelength of 3 to 15 nm; and developing the exposed resist film using a developer.


As the substrate, a substrate for manufacturing an integrated circuit (such as Si, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, and an organic anti-reflective film) or a substrate for manufacturing a mask circuit (such as Cr, CrO, CrON, MoSi2, and SiO2) can be used, for example.


The resist film can be used by, for example: applying the above chemically amplified resist composition by a method such as spin-coating so that the film thickness is 0.05 to 2 μm; and prebaking the coating film on a hot plate at preferably 60 to 150° C. for 1 to 10 minutes, more preferably 80 to 140° C. for 1 to 5 minutes.


In the above patterning process, the high energy ray is preferably i-line, KrF excimer laser light, ArF excimer laser light, electron beam, or extreme ultraviolet ray having a wavelength of 3 to 15 nm.


When the i-line, the KrF excimer laser light, the ArF excimer laser light, or the EUV is used, the exposure of the resist film can be performed by using a mask for forming a target pattern, and irradiating such ray at an exposure dose of preferably 1 to 200 mJ/cm2, more preferably 10 to 100 mJ/cm2. When the EB is used, the exposure can be performed by using a mask for forming a target pattern or directly, and irradiating the EB at an exposure dose of preferably 1 to 300 μC/cm2, more preferably 10 to 200 μC/cm2.


The exposure can be performed by a common exposure method, or can be performed by using an immersion method in which a liquid having a refractive index of 1.0 or more is interposed between the resist film and a projection lens. In this case, a protective film insoluble in water can be used.


The protective film insoluble in water, which is used for preventing an eluted material from the resist film and for improving water-slipping property on the film surface, roughly includes two types. One is an organic-solvent removal type, and the other is alkaline aqueous solution-soluble type. With the former type, the protective film is required to be removed by an organic solvent not dissolving the resist film before development with an alkaline aqueous solution. With the latter type, the protective film is soluble in an alkaline developer and removed together with a soluble portion in the resist film. The latter protective film is particularly preferably a material containing a base polymer having a 1,1,1,3,3,3-hexafluoro-2-propanol residue group, which is insoluble in water and soluble in the alkaline developer, and dissolved in a solvent. Examples of such a solvent include an alcoholic solvent having 4 or more carbon atoms, an ether solvent having 8 to 12 carbon atoms, and a mixed solvent thereof. The aforementioned surfactant insoluble in water and soluble in an alkaline developer can be dissolved in the alcoholic solvent having 4 or more carbon atoms, the ether solvent having 8 to 12 carbon atoms, or the mixed solvent thereof to form the above material.


PEB may be performed after the exposure. The PEB can be performed by heating, for example, on a hot plate preferably at 60 to 150° C. for 1 to 5 minutes, more preferably 80 to 140° C. for 1 to 3 minutes.


With the inventive patterning process, an alkaline aqueous solution can be used as the developer to dissolve an exposed portion and obtain a positive-type pattern with an insoluble unexposed portion. Alternatively, an organic solvent can be used as the developer to dissolve an unexposed portion and obtain a negative-type pattern with an insoluble exposed portion. As above, the present invention can form each of the positive-type pattern and the negative-type pattern as necessary.


A developer of an alkaline aqueous solution, such as tetramethylammonium hydroxide (TMAH) at preferably 0.1 to 5 mass %, more preferably 2 to 3 mass %, can be used, for example. The development can be performed for preferably 0.1 to 3 minutes, more preferably 0.5 to 2 minutes, by a common method, such as a dip method, a puddle method, and a spray method, to form a target positive-type pattern on the substrate with dissolving the exposed portion.


As a means for patterning process, after the resist film formation, the acid generator, etc. may be extracted from the film surface by performing a rinse with pure water (post soak), particles may be washed away, and rinsing (post soak) may be performed to remove water remained on the film after the exposure.


Furthermore, a pattern may be formed by a double-patterning method. Examples of the double-patterning method include: a trench method in which a first exposure and etching process a foundation of a 1:3 trench pattern, and a position-shifted second exposure forms the 1:3 trench pattern to form a 1:1 pattern; and a line method in which a first exposure and etching process a first foundation of a 1:3 isolated left pattern, and a position-shifted second exposure forms a second foundation having the formed 1:3 isolated left pattern under the first foundation to form 1:1 pattern with a half pitch.


In the inventive patterning process, a negative-tone development method can be used. This method uses an organic solvent as the developer instead of the developer of the alkaline aqueous solution, and the unexposed portion is dissolved to achieve the development.


As the developer for this organic solvent development, usable are 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isopentyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, 2-phenylethyl acetate, etc. These organic solvents can be used singly, or two or more kinds thereof can be mixed to use.


EXAMPLE

Hereinafter, the present invention will be specifically described with showing Synthesis Examples, Examples, and Comparative Examples, but the present invention is not limited to the following Examples. Used apparatuses were as follows.

    • IR: NICOLET 6700, manufactured by Thermo Fisher Scientific K.K.
    • 1H-NMR: ECA-500, manufactured by JEOL Ltd.
    • MALDI TOF-MS: 53000, manufactured by JEOL Ltd.


[1] Synthesis of Sulfonium-Salt-Type Polymerizable Monomer (Onium Salt)
Example 1-1: Synthesis of PAG-1
(1) Synthesis of Intermediate In-1



embedded image


Under a nitrogen atmosphere, sodium hydride (purity: 55 mass %, 43.6 g) was dispersed in THF (240 ml), and a solution composed of 1-methylcyclopentanol (114.2 g) and THF (120 ml) was added dropwise thereinto. After the dropwise addition, the mixture was heated under reflux for 4 hours to prepare a metal alkoxide. Thereafter, a raw material M-1 (193.0 g) was added dropwise thereinto, and the mixture was heated under reflux for 26 hours for aging. The reaction liquid was cooled with an ice bath, and the reaction was terminated with water (400 ml). The target product was extracted twice with a solvent composed of toluene (200 ml) and ethyl acetate (200 ml), a common aqueous work-up was performed, the solvent was evaporated, and purification was performed with distillation to obtain 210.3 g of an intermediate In-1 as a colorless oil (77% yield).


(2) Synthesis of Intermediate In-2



embedded image


Under a nitrogen atmosphere, a Grignard reagent was prepared from magnesium (3.7 g), THF (120 g), and the intermediate In-1 (41.0 g). The reaction system was cooled to 10° C. or lower, and a solution composed of diphenyl sulfoxide (10.1 g) and methylene chloride (50 g) was added. After the addition, chlorotrimethylsilane (22.6 g) was added dropwise with maintaining the internal temperature of 20° C. or lower. After the dropwise addition, the mixture was aged at an internal temperature of 20° C. or lower for 2 hours. After the aging, the reaction system was cooled, and an aqueous solution composed of ammonium chloride (15 g), 20 mass % hydrochloric acid (15 g), and water (100 g) was added dropwise to terminate the reaction. Thereafter, methanol (50 g) and diisopropyl ether (200 g) were added, and the aqueous layer was separated. Then, the separated aqueous layer was washed twice with hexane (200 g). Into the washed aqueous layer, methylene chloride (100 g) was added to extract the target product. Thereafter, a common aqueous-work up was performed, and the solvent was evaporated to obtain 19.5 g of an intermediate In-2 as a colorless oil (85% yield).


(3) Synthesis of PAG-1



embedded image


Under a nitrogen atmosphere, the intermediate In-2 (4.8 g), an intermediate In-3 (6.3 g), methylene chloride (40 g), and water (25 g) were added, and the mixture was stirred at a room temperature for 30 minutes. The organic layer was separated, washed with water, and then condensed under a reduced pressure. The residue was washed with diisopropyl ether and condensed to obtain 8.4 g of PAG-1 as an oily product (89% yield).


IR spectrum data and a TOF-MS result of PAG-1 are shown below. FIG. 1 shows a result of a nuclear magnetic resonance spectrum (1H-NMR in DMSO-d6).


IR (D-ATR): ν=3064, 2919, 2868, 1757, 1711, 1635, 1600, 1500, 1477, 1448, 1403, 1377, 1329, 1317, 1265, 1251, 1215, 1183, 1121, 1092, 1074, 1012, 992, 928, 900, 865, 838, 815, 149, 684, 641, 617, 575, 552, 519, 502, 464 cm−1


MALDI TOF-MS: POSITIVE M+379 (corresponding to C24H24FOS+)

    • NEGATIVE M475 (corresponding to C18H20F5O7S)


Example 1-2: Synthesis of PAG-2
(1) Synthesis of Intermediate In-4



embedded image


An intermediate In-4 was synthesized in the same manners as in Example 1-1 (1) and Example 1-1 (2) except that 1-methylcyclopentanol was changed to 1-isopropylcyclopentanol (yielded amount: 24.8 g, 72% yield in the two steps).


(2) Synthesis of PAG-2



embedded image


PAG-2 was synthesized in the same manner as in Example 1-1 (3), except that: the intermediate In-2 was changed to an intermediate In-4; and the intermediate In-3 was changed to an intermediate In-5 (yielded amount: 6.4 g, 80% yield).


IR spectrum data and a TOF-MS result of PAG-2 are shown below. FIG. 2 shows a result of a nuclear magnetic resonance spectrum (1H-NMR in DMSO-dd.


IR (D-ATR): ν=3492, 3063, 2968, 2875, 1725, 1629, 1607, 1501, 1476, 1447, 1404, 1312, 1270, 1179, 1122, 1105, 1033, 1009, 968, 951, 861, 818, 782, 750, 714, 685, 644, 587, 550, 503 cm−1


MALDI TOF-MS: POSITIVE M+ 407 (corresponding to C26H28FOS+)

    • NEGATIVE M-291 (corresponding to C11H9F2P5S2)


Examples 1-3 to 1-12: Synthesis of PAG-3 to PAG-12

Each polymerizable-group-containing onium salt was synthesized with the corresponding raw materials and each organic synthesis reaction. Structures of onium salts used for chemically amplified resist compositions are shown below.




embedded image


embedded image


Comparative Examples 1-1 to 1-8: Synthesis of Comparative PAG-A to PAG-H

The following Comparative PAG-A to PAG-H were synthesized as comparative monomers by using the corresponding raw materials.




embedded image


[2] Synthesis of Base Polymer

Among monomers used for synthesis of the base polymer, monomers other than PAG-1 to PAG-12 and Comparative PAG-A to PAG-H were as follows.




embedded image


Example 2-1: Synthesis of Polymer P-1

Under a nitrogen atmosphere, the monomer a1-1 (50.1 g), the monomer b2-1 (24.8 g), PAG-1 (38.0 g), 3.96 g of V-601 (manufactured by Wako Pure Chemical Industries, Ltd.), and 127 g of MEK were added into a flask to prepare a monomer-polymerization initiator solution. Into another flask with a nitrogen atmosphere, 46 g of MEK was added to be heated to 80° C. with stirring, and then the monomer-polymerization initiator solution was added dropwise over 4 hours. After the dropwise addition, the polymerization liquid was further stirred for 2 hours with maintaining the temperature at 80° C., and then cooled to a room temperature. The obtained polymerization liquid was added dropwise to 2,000 g of vigorously stirred hexane, and a precipitated polymer was filtered. The obtained polymer was washed twice with 600 g of hexane, and then dried in vacuo at 50° C. for 20 hours to obtain a white powder polymer P-1 (yielded amount: 98.1 g, 98% yield). The polymer P-1 had Mw of 9,600 and Mw/Mn of 1.81. Note that Mw is a measured value in terms of polystyrene by GPC using DMF as a solvent.




embedded image


Examples 2-2 to 2-30 and Comparative Examples 1-1 to 1-22: Synthesis of Polymers P-2 to P-30 and CP-1 to CP-22

Polymers shown in Table 1 and Table 2 were prepared in the same manner as in Example 2-1, except that the kind and blending rate of each monomer were changed.





















TABLE 1







Intro-

Intro-

Intro-

Intro-

Intro-






duction

duction

duction

duction

duction






Rate
Unit
Rate
Unit
Rate
Unit
Rate
Unit
Rate

Mw/


Polymer
Unit A
(mol %)
a1
(mol %)
a2
(mol %)
b1
(mol %)
b2
(mol %)
Mw
Mn



























P-1
PAG-1
15
a1-1
55




b2-1
30
9600
1.81


P-2
PAG-2
15
a1-1
55




b2-1
30
9700
1.79


P-3
PAG-3
15
a1-1
55




b2-1
30
10000
1.80


P-4
PAG-4
15
a1-1
55




b2-1
30
9800
1.82


P-5
PAG-5
15
a1-1
55




b2-1
30
9600
1.82


P-6
PAG-6
15
a1-1
55




b2-1
30
9700
1.83


P-7
PAG-7
15
a1-1
55




b2-1
30
9900
1.78


P-8
PAG-8
15
a1-1
55




b2-1
30
10100
1.79


P-9
PAG-9
15
a1-1
55




b2-1
30
9600
1.80


P-10
PAG-10
15
a1-1
55




b2-1
30
9600
1.83


P-11
PAG-11
15
a1-1
55




b2-1
30
9800
1.79


P-12
PAG-12
15
a1-1
55




b2-1
30
9500
1.82


P-13
PAG-1
15
a1-2
55




b2-1
30
9700
1.81


P-14
PAG-2
15
a1-1
25




b2-1
35
9900
1.87





a1-2
25










P-15
PAG-3
10
a1-4
10
a2-1
30
b1-1
30
b2-3
20
10000
1.92


P-16
PAG-4
10
a1-4
10
a2-2
30
b1-2
30
b2-3
20
9700
1.83


P-17
PAG-5
15
a1-3
35




b2-1
35
9600
1.78





a1-5
15










P-18
PAG-6
15
a1-1
50




b2-1
20
10200
1.79











b2-2
15




P-19
PAG-7
15
a1-3
50




b2-1
35
9900
1.77


P-20
PAG-8
10
a1-1
60




b2-3
30
9800
1.81


P-21
PAG-9
10
a1-1
60


b1-2
15
b2-1
15
9900
1.80


P-22
PAG-10
15
a1-1
25




b2-1
35
9700
1.84





a1-3
25










P-23
PAG-11
15
a1-3
35
a2-1
15


b2-1
35
10200
1.78


P-24
PAG-12
20
a1-1
30
a2-2
20
b1-3
10
b2-1
20
10000
1.82


P-25
PAG-2
20
a1-1
20
a2-2
30


b2-2
30
9800
1.81


P-26
PAG-3
15
a1-1
35




b2-1
35
9600
1.79





a1-5
15










P-27
PAG-7
10
a1-1
25


b1-3
10
b2-3
30
9900
1.81





a1-4
25










P-28
PAG-9
10
a1-3
30
a2-2
30
b1-1
10
b2-1
20
9700
1.83


P-29
PAG-1
15
a1-3
35




b2-1
35
9900
1.78





a1-5
15










P-30
PAG-2
15
a1-3
35




b2-1
35
10000
1.80





a1-5
15




































TABLE 2







Intro-

Intro-

Intro-

Intro-

Intro-






duction

duction

duction

duction

duction






Rate
Unit
Rate
Unit
Rate
Unit
Rate
Unit
Rate

Mw/


Polymer
Unit A
(mol %)
a1
(mol %)
a2
(mol %)
b1
(mol %)
b2
(mol %)
Mw
Mn



























CP-1
PAG-A
15
a1-1
55




b2-1
30
9700
1.82


CP-2
PAG-B
15
a1-1
55




b2-1
30
9900
1.79


CP-3
PAG-C
15
a1-1
55




b2-1
30
10000
1.80


CP-4
PAG-D
15
a1-1
55




b2-1
30
9800
1.82


CP-5
PAG-E
15
a1-1
55




b2-1
30
9600
1.78


CP-6
PAG-F
15
a1-1
55




b2-1
30
10100
1.81


CP-7
PAG-G
15
a1-1
55




b2-1
30
9800
1.80


CP-8
PAG-H
15
a1-1
55




b2-1
30
9700
1.78


CP-9
PAG-C
15
a1-1
25




b2-1
35
10200
1.82





a1-2
25










CP-10
PAG-D
10
a1-4
10
a2-2
30
b1-2
30
b2-3
20
10000
1.77


CP-11
PAG-E
15
a1-3
35




b2-1
35
9900
1.83





a1-5
15










CP-12
PAG-F
15
a1-1
50




b2-1
20
9700
1.81











b2-2
15




CP-13
PAG-G
15
a1-3
50




b2-1
35
9900
1.78


CP-14
PAG-H
20
a1-1
30
a2-2
20
b1-3
10
b2-1
20
10100
1.82


CP-15
PAG-A
20
a1-1
20
a2-2
30


b2-2
30
9700
1.80


CP-16
PAG-B
15
a1-1
35




b2-1
35
9800
1.77





a1-5
15










CP-17
PAG-D
10
a1-3
30
a2-2
30
b1-1
10
b2-1
20
9700
1.79


CP-18
PAG-E
15
a1-3
35




b2-1
35
9600
1.80





a1-5
15










CP-19
PAG-F
15
a1-3
35




b2-1
35
10100
1.79





a1-5
15










CP-20
PAG-D
15
a1-1
25




b2-1
35
9800
1.83





a1-2
25










CP-21


a1-1
50


b1-1
20
b2-1
20
7200
1.68









b1-3
10






CP-22


a1-2
60




b2-1
40
7000
1.67









[3] Preparation of Chemically Amplified Resist Composition
Examples 3-1 to 3-30 and Comparative Examples 2-1 to 2-22

The base polymers (P-1 to P-30) having the repeating unit derived from the inventive sulfonium-salt-type polymerizable monomer (PAG-1 to PAG-12), the base polymers (CP-1 to CP-20) having the comparative sulfonium salts (PAG-A to PAG-H), other photoacid generators (PAG-X to PAG-Z), and quenchers (SQ-1 to SQ-4, AQ-1, and AQ-2), were dissolved into solvents containing 100 ppm of FC-4430, manufactured by 3M Company, as a surfactant of the component (E) at compositions shown in the following Table 3 and Table 4 to prepare solutions. The solutions were filtered with a Teflon® filter with 0.2 μm to prepare chemically amplified resist compositions (R-1 to R-30 and CR-1 to CR-22).















TABLE 3








Other







Base
photoacid







polymer
generator
Quencher
Solvent 1
Solvent 2



Resist
(parts by
(parts by
(parts by
(parts by
(parts by



Composition
mass)
mass)
mass)
mass)
mass)







Example
R-1
P-1 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-1




(2,200)



Example
R-2
P-2 (80)

SQ-1 (7.6)
PGMEA
DAA (900)


3-2




(2,200)



Example
R-3
P-3 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-3




(2,200)



Example
R-4
P-4 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-4




(2,200)



Example
R-5
P-5 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-5




(2,200)



Example
R-6
P-6 (80)

SQ-1 (7.4)
PGMEA
DAA (900)


3-6




(2,200)



Example
R-7
P-7 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-7




(2,200)



Example
R-8
P-8 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-8




(2,200)



Example
R-9
P-9 (80)

SQ-1 (7.7)
PGMEA
DAA (900)


3-9




(2,200)



Example
R-10
P-10 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-10




(2,200)



Example
R-11
P-11 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-11




(2,200)



Example
R-12
P-12 (80)
PAG-X
SQ-1 (8.8)
PGMEA
DAA (900)


3-12


(15)

(2,200)



Example
R-13
P-13 (80)

SQ-2 (7.8)
PGMEA
DAA (900)


3-13




(2,200)



Example
R-14
P-14 (80)

SQ-3 (7.8)
PGMEA
DAA (900)


3-14




(2,200)



Example
R-15
P-15 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-15




(2,200)



Example
R-16
P-16 (80)

SQ-4 (5.8)
PGMEA
DAA (900)


3-16




(2,200)



Example
R-17
P-17 (80)

SQ-1 (3.6)
PGMEA
DAA (900)


3-17



AQ-2 (3.6)
(2,200)



Example
R-18
P-18 (80)
PAG-Y
SQ-1 (7.8)
PGMEA
DAA (900)


3-18


(15)

(2,200)



Example
R-19
P-19 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-19




(2,200)



Example
R-20
P-20 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-20




(2,200)



Example
R-21
P-21 (80)

SQ-2 (7.5)
PGMEA
DAA (900)


3-21




(2,200)



Example
R-22
P-22 (80)

SQ-3 (6.8)
PGMEA
DAA (900)


3-22




(2,200)



Example
R-23
P-23 (80)

SQ-4 (6.2)
PGMEA
DAA (900)


3-23




(2,200)



Example
R-24
P-24 (80)

SQ-1 (4.8)
PGMEA
DAA (900)


3-24



AQ-1 (3.6)
(2,200)



Example
R-25
P-25 (80)

SQ-2 (7.4)
PGMEA
DAA (900)


3-25




(2,200)



Example
R-26
P-26 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


3-26




(2,200)



Example
R-27
P-27 (80)

SQ-2 (7.2)
PGMEA
DAA (900)


3-27




(2,200)



Example
R-28
P-28 (80)
PAG-Z
SQ-2 (3.7)
PGMEA
DAA (900)


3-28


(10)
AQ-2 (3.7)
(2,200)



Example
R-29
P-29 (80)

SQ-3 (7.4)
PGMEA
DAA (900)


3-29




(2,200)



Example
R-30
P-30 (80)

SQ-2 (7.2)
PGMEA
DAA (900)


3-30




(2,200)






















TABLE 4








Other







Base
photoacid







polymer
generator
Quencher
Solvent 1
Solvent 2



Resist
(parts by
(parts by
(parts by
(parts by
(parts by



Composition
mass)
mass)
mass)
mass)
mass)







Comparative
CR-1
CP-1 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


Example 2-1




(2,200)



Comparative
CR-2
CP-2 (80)

SQ-1 (7.6)
PGMEA
DAA (900)


Example 2-2




(2,200)



Comparative
CR-3
CP-3 (80)

SQ-1 (7.5)
PGMEA
DAA (900)


Example 2-3




(2,200)



Comparative
CR-4
CP-4 (80)

SQ-1 (7.6)
PGMEA
DAA (900)


Example 2-4




(2,200)



Comparative
CR-5
CP-5 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


Example 2-5




(2,200)



Comparative
CR-6
CP-6 (80)

SQ-1 (7.6)
PGMEA
DAA (900)


Example 2-6




(2,200)



Comparative
CR-7
CP-7 (80)

SQ-1 (7.6)
PGMEA
DAA (900)


Example 2-7




(2,200)



Comparative
CR-8
CP-8 (80)
PAG-X (15)
SQ-1 (8.8)
PGMEA
DAA (900)


Example 2-8




(2,200)



Comparative
CR-9
CP-9 (80)

SQ-3 (7.8)
PGMEA
DAA (900)


Example 2-9




(2,200)



Comparative
CR-10
CP-10 (80)

SQ-4 (6.4)
PGMEA
DAA (900)


Example 2-10




(2,200)



Comparative
CR-11
CP-11 (80)

SQ-1 (3.6)
PGMEA
DAA (900)


Example 2-11



AQ-2 (3.6)
(2,200)



Comparative
CR-12
CP-12 (80)
PAG-Y (15)
SQ-1 (7.8)
PGMEA
DAA (900)


Example 2-12




(2,200)



Comparative
CR-13
CP-13 (80)

SQ-1 (7.8)
PGMEA
DAA (900)


Example 2-13




(2,200)



Comparative
CR-14
CP-14 (80)

SQ-1 (4.8)
PGMEA
DAA (900)


Example 2-14



AQ-1 (3.6)
(2,200)



Comparative
CR-15
CP-15 (80)

SQ-2 (7.6)
PGMEA
DAA (900)


Example 2-15




(2,200)



Comparative
CR-16
CP-16 (80)

SQ-2 (7.4)
PGMEA
DAA (900)


Example 2-16




(2,200)



Comparative
CR-17
CP-17 (80)

SQ-2 (7.6)
PGMEA
DAA (900)


Example 2-17




(2,200)



Comparative
CR-18
CP-18 (80)
PAG-Z (10)
SQ-2 (3.7)
PGMEA
DAA (900)


Example 2-18



AQ-2 (3.7)
(2,200)



Comparative
CR-19
CP-19 (80)

SQ-3 (7.6)
PGMEA
DAA (900)


Example 2-19




(2,200)



Comparative
CR-20
CP-20 (80)

SQ-2 (7.6)
PGMEA
DAA (900)


Example 2-20




(2,200)



Comparative
CR-21
CP-21 (80)
PAG-X (24)
SQ-3 (7.4)
PGMEA
DAA (900)


Example 2-21




(2,200)



Comparative
CR-22
CP-22 (80)
PAG-Y (24)
SQ-1 (7.8)
PGMEA
DAA (900)


Example 2-22




(2,200)









In Table 3 and Table 4, the solvents, the other photoacid generators (PAG-X to PAG-Z), and the quenchers (SQ-1 to SQ-4, AQ-1, and AQ-2) were as follows.

    • Solvent: PGMEA (Propylene glycol monomethyl ether acetate)
      • DAA (Diacetone alcohol)
    • Other Photoacid Generator: PAG-X to PAG-Z




embedded image




    • Quencher: SQ-1 to SQ-4, AQ-1, and AQ-2







embedded image


[4] EUV Lithography Evaluation (1)
Examples 4-1 to 4-30 and Comparative Examples 3-1 to 3-22

Each of the chemically amplified resist compositions (R-1 to R-30 and CR-1 to CR-22) shown in Tables 3 and 4 was applied by spin-coating on a Si substrate on which a silicon-containing spin-on-hard mask SHB-A940, manufactured by Shin-Etsu Chemical Co., Ltd. (silicon content of 43 mass %), was formed with 20 nm in film thickness. Then, the substrate was prebaked at 100° C. for 60 seconds using a hot plate to produce a resist film with 50 nm in film thickness. This resist film was exposed by using an EUV scanner NXE3300 (NA 0.33, σ 0.9/0.6, dipole illumination), manufactured by ASML Holding N.V. The exposure was performed with a LS pattern with 18 nm on wafer size and 36 nm in pitch, and with changing an exposure dose and focus (exposure dose pitch: 1 mJ/cm2, focus pitch: 0.020 μm). After the exposure, PEB was performed at a temperature shown in Table 5 and Table 6 for 60 seconds. Thereafter, puddle development with a 2.38 mass % aqueous TMAH solution for 30 seconds, rinse with a surfactant-containing rinsing material, and spin-drying were performed to obtain a positive-type pattern.


The obtained LS pattern was observed with a length-measurement SEM (CG6300), manufactured by Hitachi High-Technologies Corporation, to evaluate sensitivity, exposure latitude (EL), LWR, depth of focus (DOF), and collapse limit in accordance with the following methods. Table 5 and Table 6 show the results.


Sensitivity Evaluation

An optimum exposure dose Eop (mJ/cm2) to yield the LS pattern with 18 nm in line width and 36 nm in pitch was determined to specify this value as a sensitivity. A smaller sensitivity value indicates higher sensitivity.


EL Evaluation

From exposure doses that formed within a range of the 18 nm space width±10% (16.2 to 19.8 nm) of the LS pattern, EL (unit: %) was determined by the following equation. The larger the EL value, the better the performance.





EL(%)=(|E1−E2|/Eop)×100

    • E1: An optimum exposure dose to yield a LS pattern with 16.2 nm in line width and 36 nm in pitch.
    • E2: An optimum exposure dose to yield a LS pattern with 19.8 nm in line width and 36 nm in pitch.
    • Eop: An optimum exposure dose to yield the LS pattern with 18 nm in line width and 36 nm in pitch.


LWR Evaluation

In the LS pattern obtained by irradiation at Eop, sizes at 10 positions in the longitudinal direction of the line were measured. From the results, a tripled value (3σ) of a standard variation (σ) was determined as LWR. A smaller LWR value can yield a pattern with smaller roughness and uniform line width.


DOF Evaluation

As evaluation of depth of focus, determined was a focus range that formed within a range of the 18 nm size±10% (16.2 to 19.8 nm) of the LS pattern. A larger DOF value indicates wider depth of focus.


Evaluation of Collapse Limit of Line Pattern

Line sizes of the LS patterns at each exposure dose with the optimum focus were measured at 10 positions in the longitudinal direction. A narrowest line size obtained without collapse was specified as a collapse limit size. A smaller limit size value indicates excellent collapse limit.
















TABLE 5








Optimum








PEB
exposure



Collapse



Resist
temperature
dose
EL
LWR
DOF
limit



composition
(° C.)
(mJ/cm2)
(%)
(nm)
(nm)
(nm)






















Example
R-1
95
41
19
2.7
120
10.1


4-1









Example
R-2
90
39
18
2.8
110
10.2


4-2









Example
R-3
90
39
19
3
110
10.3


4-3









Example
R-4
90
38
17
2.8
110
10.5


4-4









Example
R - 5
95
40
17
2.8
120
10.3


4-5









Example
R-6
95
42
18
2.9
100
10.3


4-6









Example
R-7
95
41
19
2.9
120
10.8


4-7









Example
R-8
90
41
18
3.1
110
11


4-8









Example
R-9
95
42
17
3
120
10.9


4-9









Example
R-10
95
40
19
2.8
110
10.6


4-10









Example
R-11
90
39
18
3.2
120
10.4


4-11









Example
R-12
100
42
17
2.9
120
10.6


4-12









Example
R-13
95
41
18
2.7
110
11.1


4-13









Example
R-14
90
40
19
2.9
120
10.8


4-14









Example
R-15
90
40
17
3.1
110
11.2


4-15









Example
R-16
90
39
17
2.9
100
10.9


4-16









Example
R-17
90
41
18
3
110
11.2


4-17









Example
R-18
95
40
19
2.8
110
10.6


4-18









Example
R-19
95
42
17
3.2
120
10.8


4-19









Example
R-20
95
41
18
2.9
120
10.6


4-20









Example
R-21
95
40
19
3
120
11.1


4-21









Example
R-22
95
43
19
3.1
110
11.3


4-22









Example
R-23
95
41
17
2.9
100
10.6


4-23









Example
R-24
100
40
18
3.1
120
10.2


4-24









Example
R-25
95
42
19
2.9
100
10.2


4-25









Example
R-26
95
41
18
2.8
110
11.1


4-26









Example
R-27
90
42
18
3
110
10.4


4-27









Example
R-28
95
43
17
2.8
100
10.3


4-28









Example
R-29
95
42
19
3.1
120
10.5


4-29









Example
R-30
95
40
18
2.9
110
10.3


4-30






























TABLE 6








Optimum








PEB
exposure



Collapse



Resist
temperature
dose
EL
LWR
DOF
limit



composition
(° C.)
(mJ/cm2)
(%)
(nm)
(nm)
(nm)






















Comparative
CR-1
100
46
18
3.6
80
15.5


Example 3-1









Comparative
CR-2
95
45
18
3.5
80
14.5


Example 3-2









Comparative
CR-3
90
43
17
3.4
90
13.4


Example 3-3









Comparative
CR-4
95
44
18
3.5
90
15.8


Example 3-4









Comparative
CR-5
95
42
18
3.6
80
14.3


Example 3-5









Comparative
CR-6
100
44
17
3.5
80
13.6


Example 3-6









Comparative
CR-7
100
45
16
3.4
90
14.1


Example 3-7









Comparative
CR-8
100
46
18
3.7
90
13.9


Example 3-8









Comparative
CR-9
95
43
17
3.8
90
13.4


Example 3-9









Comparative
CR-10
95
43
17
3.5
80
14.5


Example 3-10









Comparative
CR-11
95
42
18
3.6
100
15.2


Example 3-11









Comparative
CR-12
90
43
18
3.9
90
13.4


Example 3-12









Comparative
CR-13
95
44
19
3.5
80
13.2


Example 3-13









Comparative
CR-14
95
45
17
3.5
90
13.6


Example 3-14









Comparative
CR-15
100
46
16
3.7
70
14.5


Example 3-15









Comparative
CR-16
90
45
18
3.4
80
14.3


Example 3-16









Comparative
CR-17
95
43
17
3.6
80
15.2


Example 3-17









Comparative
CR-18
95
42
17
3.4
70
13.2


Example 3-18









Comparative
CR-19
90
45
18
3.7
90
13.2


Example 3-19









Comparative
CR-20
95
44
16
3.5
80
14.2


Example 3-20









Comparative
CR-21
95
49
15
4.1
70
14.5


Example 3-21









Comparative
CR-22
95
50
15
4.2
70
14.9


Example 3-22
















From the results shown in Table 5 and Table 6, the chemically amplified resist composition containing the inventive photoacid generator has been found to have excellent EL, LWR, and DOF with good sensitivity. The composition has a small collapse limit value, and also has been confirmed to hardly cause pattern collapse even in fine pattern formation. Therefore, the inventive chemically amplified resist composition has been demonstrated to be suitable for a material for EUV lithography.


[5] EUV Lithography Evaluation (2)
Examples 5-1 to 5-30 and Comparative Examples 4-1 to 4-22

Each of the chemically amplified resist compositions (R-1 to R-30 and CR-1 to CR-22) shown in Table 3 and Table 4 was applied by spin-coating on a Si substrate on which a silicon-containing spin-on-hard mask SHB-A940, manufactured by Shin-Etsu Chemical Co., Ltd. (silicon content of 43 mass %), was formed with 20 nm in film thickness. Then, the substrate was prebaked at 105° C. for 60 seconds using a hot plate to produce a resist film with 50 nm in film thickness. This resist film was exposed by using an EUV scanner NXE3400 (NA 0.33, σ 0.9/0.6, quadrupole illumination, 46 nm in pitch on wafer size, hole pattern mask with +20% bias), manufactured by ASML Holding N.V. Then, PEB was performed at a temperature shown in Table 7 and Table 8 for 60 seconds by using a hot plate, and development was performed with a 2.38 mass % aqueous TMAH solution for 30 seconds to form a hole pattern with 23 nm in size.


Using a length-measurement SEM (CG6300), manufactured by Hitachi High-Technologies Corporation, an exposure dose when the hole size was formed with 23 nm was measured to specify this exposure dose as a sensitivity. Sizes of 50 holes were measured in this time, and a tripled value (3σ) of a standard variation (σ) calculated from the results was determined as size variation (CDU). Table 7 and Table 8 show the results.













TABLE 7








Optimum





PEB
exposure




Resist
Temperature
dose
CDU



composition
(° C.)
(mJ/cm2)
(nm)



















Example 5-1
R-1
95
25
2.3


Example 5-2
R-2
90
24
2.3


Example 5-3
R-3
90
25
2.4


Example 5-4
R-4
90
24
2.5


Example 5-5
R-5
90
25
2.5


Example 5-6
R-6
90
26
2.6


Example 5-7
R-7
90
25
2.4


Example 5-8
R-8
90
26
2.5


Example 5-9
R-9
95
24
2.5


Example 5-10
R-10
90
25
2.5


Example 5-11
R-11
90
26
2.4


Example 5-12
R-12
95
26
2.7


Example 5-13
R-13
90
26
2.6


Example 5-14
R-14
90
27
2.5


Example 5-15
R-15
90
24
2.6


Example 5-16
R-16
90
25
2.7


Example 5-17
R-17
90
26
2.7


Example 5-18
R-18
85
25
2.5


Example 5-19
R-19
90
26
2.6


Example 5-20
R-20
95
25
2.4


Example 5-21
R-21
95
26
2.7


Example 5-22
R-22
90
25
2.5


Example 5-23
R-23
90
25
2.7


Example 5-24
R-24
90
26
2.5


Example 5-25
R-25
90
25
2.6


Example 5-26
R-26
90
26
2.5


Example 5-27
R-27
90
27
2.6


Example 5-28
R-28
90
25
2.6


Example 5-29
R-29
95
25
2.5


Example 5-30
R-30
90
24
2.5




















TABLE 8








Optimum





PEB
exposure




Resist
Temperature
dose
CDU



composition
(° C.)
(mJ/cm2)
(nm)



















Comparative
CR-1
95
30
3.3


Example 4-1






Comparative
CR-2
95
29
3.2


Example 4-2






Comparative
CR-3
90
27
2.9


Example 4-3






Comparative
CR-4
90
26
3.1


Example 4-4






Comparative
CR-5
90
27
3


Example 4-5






Comparative
CR-6
90
28
2.9


Example 4-6






Comparative
CR-7
95
28
3.1


Example 4-7






Comparative
CR-8
100
31
3.1


Example 4-8






Comparative
CR-9
95
29
2.8


Example 4-9






Comparative
CR-10
95
27
2.9


Example 4-10






Comparative
CR-11
90
28
3.2


Example 4-11






Comparative
CR-12
90
29
3.3


Example 4-12






Comparative
CR-13
95
31
3.5


Example 4-13






Comparative
CR-14
90
29
3.1


Example 4-14






Comparative
CR-15
90
26
3.3


Example 4-15






Comparative
CR-16
95
27
3.2


Example 4-16






Comparative
CR-17
90
29
3.4


Example 4-17






Comparative
CR-18
90
27
3.3


Example 4-18






Comparative
CR-19
95
28
3.2


Example 4-19






Comparative
CR-20
90
27
3


Example 4-20






Comparative
CR-21
95
31
3.7


Example 4-21






Comparative
CR-22
95
32
3.8


Example 4-22













From the results shown in Table 7 and Table 8, the inventive chemically amplified resist composition has been confirmed to have good sensitivity and excellent CDU.


The present specification includes the following aspects.


[1]: A sulfonium-salt-type polymerizable monomer represented by the following formula (1),




embedded image


wherein “p” represents an integer of 1 to 3; R11 represents a hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom; two of the three substituents bonded to the sulfonium cation are optionally bonded each other to form a ring together with the sulfur atom to which the two substituents are bonded; Rf represents a fluorine atom or a fluorine-atom-containing alkyl group, alkoxy group, or sulfide group having 1 to 6 carbon atoms; “q” represents an integer of 1 to 4, and when q≥2, Rf may be same as or different from each other; RALU represents an acid-labile group formed together with the adjacent oxygen atom; “r” represents an integer of 1 to 4; R12 represents a hydrocarbyl group having 1 to 20 carbon atoms and optionally having a heteroatom; “s” represents an integer of 0 to 4; “t” represents an integer of 0 to 2; q+r+s 5 when t=0; q+r+s 7 when t=1; q+r+s 9 when t=2; Rf and —O—RALU are bonded to adjacent carbon atoms; A represents a polymerizable group; and A-X represents a non-nucleophilic counterion having the polymerizable group.


[2]: The sulfonium-salt-type polymerizable monomer according to [1], wherein in the formula (1), RALU is represented by the following formula (ALU-1) or (ALU-2),




embedded image


wherein in the formula (ALU-1), R21, R22, and R23 each independently represent a hydrocarbyl group having 1 to 10 carbon atoms and optionally having a substituent; any two of R21, R22, and R23 are optionally bonded each other to form a ring; “u” represents an integer of 0 or 1; in the formula (ALU-2), R24 and R25 each independently represent a hydrogen atom or a hydrocarbyl group having 1 to 10 carbon atoms and optionally having a substituent; R26 represents a hydrocarbyl group having 1 to 20 carbon atoms, or R26 is optionally bonded to R24 or R25 each other to form a heterocyclic group having 3 to 20 carbon atoms together with Xa and the carbon atom to which R24 and R25 are bonded; —CH2— contained in the hydrocarbyl group and the heterocyclic group is optionally substituted with —O— or —S—; Xa represents an oxygen atom or a sulfur atom; “v” represents an integer of 0 or 1; and “*” represents a bond to the adjacent oxygen atom.


[3]: The sulfonium-salt-type polymerizable monomer according to [1] or [2], wherein in the formula (1), A-X being the non-nucleophilic counterion having the polymerizable group is represented by at least one of the following general formulae (1-A) to (1-C),




embedded image


wherein RA represents a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group; Z3 represents a single bond, a phenylene group, a naphthylene group, or (main chain) —C(═O)—O—Z31—; Z31 represents an aliphatic hydrocarbylene group having 1 to 10 carbon atoms, a phenylene group or a naphthylene group, the aliphatic hydrocarbylene group optionally having a hydroxy group, an ether bond, an ester bond, or a lactone ring; Z4 represents a single bond, a methylene group, or —Z41—C(═O)—O—; Z41 represents a hydrocarbylene group having 1 to 20 carbon atoms and optionally having a heteroatom, an ether bond, or an ester bond; Z5 represents a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenylene group, a phenylene group substituted with a trifluoromethyl group, (main chain) —C(═O)—O—Z51—, (main chain) —C(═O)—N(H)—Z51—, or (main chain) —O—Z51—; Z51 represents an aliphatic hydrocarbylene group having 1 to 6 carbon atoms, a phenylene group, a fluorinated phenylene group, or a phenylene group substituted with a trifluoromethyl group, and Z51 optionally has a carbonyl group, an ester bond, an ether bond, or a hydroxy group; L11 represents a single bond, an ether bond, an ester bond, a carbonyl group, a sulfonate ester bond, a carbonate bond, or a carbamate bond; Rf1 and Rf2 each independently represent a fluorine atom or a fluorinated alkyl group having 1 to 6 carbon atoms; Rf3 and Rf4 each independently represent a hydrogen atom, a fluorine atom, or a fluorinated alkyl group having 1 to 6 carbon atoms; and “c” represents an integer of 0 to 3.


[4]: A polymer photoacid generator being a copolymer of the sulfonium-salt-type polymerizable monomer according to any one of [1] to [3].


[5]: A base resin, comprising a repeating unit derived from the sulfonium-salt-type polymerizable monomer according to any one of [1] to [3].


[6]: The base resin according to [5], further comprising a repeating unit represented by the following formula (a1) or (a2),




embedded image


wherein RA each independently represents a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group; ZA represents a single bond, (main chain) —C(═O)—O—ZA1—, a phenylene group or naphthylene group optionally containing an alkoxy group having 1 to 10 carbon atoms and optionally having a fluorine atom, or a phenylene group or naphthylene group optionally containing a halogen atom; ZA1 represents a linear, branched, or cyclic alkanediyl group having 1 to 20 carbon atoms, a phenylene group or naphthylene group, the alkanediyl group optionally having a heteroatom, an alkoxy group having 1 to 10 carbon atoms and optionally having a fluorine atom, a hydroxy group, an ether bond, an ester bond, or a lactone ring; ZB represents a single bond or (main chain) —C(═O)—O—; XA and XB each independently represent an acid-labile group; RB represents a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms and optionally having a heteroatom; and “n” represents an integer of 0 to 4.


[7]: The base resin according to [5] or [6], further comprising a repeating unit represented by the following formula (b1) or (b2),




embedded image


wherein RA and ZB represent the same as above; YA represents a hydrogen atom or a polar group having one or more structures selected from a hydroxy group other than a phenolic hydroxy group, a cyano group, a carbonyl group, a carboxy group, an ether bond, an ester bond, a sulfonate ester bond, a sulfonamide bond, a carbonate bond, a lactone ring, a sultone ring, a sulfur atom, and a carboxylic anhydride; Rb represents a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms and optionally having a heteroatom; and “m” represents an integer of 1 to 4.


[8]: A resist composition, comprising the base resin according to any one of [5] to [7].


[9]: The resist composition according to [8], further comprising an organic solvent.


[10]: The resist composition according to [8] or [9], further comprising a quencher.


[11]: The resist composition according to any one of [8] to [10], further comprising a photoacid generator other than the base resin.


[12]: The resist composition according to any one of [8] to [11], further comprising: a surfactant insoluble or hardly soluble in water and soluble in an alkaline developer; and/or a surfactant insoluble or hardly soluble in water and an alkaline developer.


[13]: A patterning process, comprising steps of: forming a resist film on a substrate using the resist composition according to any one of [8] to [12]; exposing the resist film to high energy ray; and developing the exposed resist film using a developer.


[14]: The patterning process according to [13], wherein the high energy ray is i-line, KrF excimer laser light, ArF excimer laser light, electron beam, or extreme ultraviolet ray having a wavelength of 3 to 15 nm.


[15]: The patterning process according to [13] or [14], wherein an alkaline aqueous solution is used as the developer to dissolve an exposed portion and obtain a positive-type pattern with an insoluble unexposed portion.


[16]: The patterning process according to [13] or [14], wherein an organic solvent is used as the developer to dissolve an unexposed portion and obtain a negative-type pattern with an insoluble exposed portion.


It should be noted that the present invention is not limited to the above-described embodiments. The embodiments are just examples, and any examples that substantially have the same feature and demonstrate the same functions and effects as those in the technical concept disclosed in claims of the present invention are included in the technical scope of the present invention.

Claims
  • 1. A sulfonium-salt-type polymerizable monomer represented by the following formula (1),
  • 2. The sulfonium-salt-type polymerizable monomer according to claim 1, wherein in the formula (1), RALU is represented by the following formula (ALU-1) or (ALU-2),
  • 3. The sulfonium-salt-type polymerizable monomer according to claim 1, wherein in the formula (1), A-X− being the non-nucleophilic counterion having the polymerizable group is represented by at least one of the following general formulae (1-A) to (1-C),
  • 4. The sulfonium-salt-type polymerizable monomer according to claim 2, wherein in the formula (1), A-X− being the non-nucleophilic counterion having the polymerizable group is represented by at least one of the following general formulae (1-A) to (1-C),
  • 5. A polymer photoacid generator being a copolymer of the sulfonium-salt-type polymerizable monomer according to claim 1.
  • 6. A base resin, comprising a repeating unit derived from the sulfonium-salt-type polymerizable monomer according to claim 3.
  • 7. The base resin according to claim 6, further comprising a repeating unit represented by the following formula (a1) or (a2),
  • 8. The base resin according to claim 7, further comprising a repeating unit represented by the following formula (b1) or (b2),
  • 9. A resist composition, comprising the base resin according to claim 6.
  • 10. A resist composition, comprising the base resin according to claim 7.
  • 11. A resist composition, comprising the base resin according to claim 8.
  • 12. The resist composition according to claim 9, further comprising an organic solvent.
  • 13. The resist composition according to claim 9, further comprising a quencher.
  • 14. The resist composition according to claim 9, further comprising a photoacid generator other than the base resin.
  • 15. The resist composition according to claim 9, further comprising: a surfactant insoluble or hardly soluble in water and soluble in an alkaline developer; and/ora surfactant insoluble or hardly soluble in water and an alkaline developer.
  • 16. A patterning process, comprising steps of: forming a resist film on a substrate using the resist composition according to claim 9;exposing the resist film to high energy ray; anddeveloping the exposed resist film using a developer.
  • 17. The patterning process according to claim 16, wherein the high energy ray is i-line, KrF excimer laser light, ArF excimer laser light, electron beam, or extreme ultraviolet ray having a wavelength of 3 to 15 nm.
  • 18. The patterning process according to claim 16, wherein an alkaline aqueous solution is used as the developer to dissolve an exposed portion and obtain a positive-type pattern with an insoluble unexposed portion.
  • 19. The patterning process according to claim 16, wherein an organic solvent is used as the developer to dissolve an unexposed portion and obtain a negative-type pattern with an insoluble exposed portion.
Priority Claims (1)
Number Date Country Kind
2022-81150 May 2022 JP national