As memory capacity is becoming larger, a memory can have higher possibility of random defects, particle contaminations, or manufacturing inefficiencies such that the memory may not be useable. A conventional approach is to use spare cells to replace defective cells.
Laser fuses have been used to store defective addresses as a prior art since 1980s.
A programmable resistive device can be used to store defective addresses for memory repairs. A programmable resistive device is generally referred to a device's resistance state that may change after means of programming. Resistance states can also be determined by resistance values. For example, a resistive device can be a One-Time Programmable (OTP) device, such as electrical fuse, and the programming means can apply a high voltage to induce a high current to flow through the OTP element. When a high current flows through an OTP element by turning on a program selector, the OTP element can be programmed, e.g., burned into a high or low resistance state (depending on either fuse or anti-fuse). A programmable resistive device can also be Phase-Change RAM (PCRAM) or Resistive RAM (RRAM) that can be programmed reversely and repeatedly. Another type of programmable resistive device such as Magnetic RAM (MRAM) or Conductive-Bridge RAM (CBRAM) that can be programmed based on directions of current flowing through the resistive device.
An electrical fuse is a common OTP which is a programmable resistive device that can be programmed only once. An electrical fuse can be constructed from a segment of interconnect, such as polysilicon, silicided polysilicon, silicide, metal, metal alloy, or some combinations thereof. The metal can be aluminum, copper, other transition metals, or non-aluminum metal gate for CMOS. One of the most commonly used electrical fuses is a CMOS gate, fabricated in silicided polysilicon, used as interconnect. The electrical fuse can also be one or more contacts or vias instead of a segment of interconnect. A high current may blow the contact(s) or via(s) into a very high resistance state. The OTP can be an anti-fuse, where a high voltage makes the resistance lower, instead of higher. The anti-fuse can consist of one or more contacts or vias with an insulator in between. The anti-fuse can also be a CMOS gate coupled to a CMOS body with a thin gate oxide as insulator to be breakdown by a high voltage.
A conventional programmable resistive memory cell is shown in
Another programmable resistive memory cell 15 is shown in
The conventional electrical fuse cell size using MOS as program selector is very large (˜50 um2), and the current is very high (˜20 mA) for memory repairs. The gate-oxide breakdown anti-fuse tends to have Soft Breakdown problem, instead of Hard Breakdown, such that the programmed anti-fuse can be healed by itself and cause severe reliability problems. Moreover, the anti-fuses are problematic to implement in-system repairs because of costs, capacity, and yield/reliability, and special requirements such as high voltages, charge pumps, or additional pins, etc. As a result, there is a need for improved approaches to repair memories in the wafer level, in the package, in the module, or even in the systems to increase yields and reduce costs of a memory chip or module after production.
Embodiments disclosed herein use various schemes to repair and/or configure a memory while already provided in a system. Faulty memory in a system can be due to fatigue, wear out, stress, degraded performance (e.g., due to aging) or opens/shorts. When a memory chip is found faulty, the memory chip can be repaired or configured while installed in a system. This capability can substantially reduce costs for users, because the difficulties of finding replacement parts, taking the old parts off the system, and install new chips/modules can be very time consuming and costly.
According to one embodiment, a memory device can undergo in-system repair or configuration for memories using One-Time Programmable (OTP) memory. Memories found to be defective or performance degraded in a system can be repaired in-situ through use of OTP memory provided within the memories.
The invention can be implemented in numerous ways, including as a method, system, device, or apparatus (including graphical user interface and computer readable medium). Several embodiments of the invention are discussed below.
As a memory, one embodiment can, for example, include at least: a plurality of normal memory cells and a plurality of redundant memory cells; at least one selector to select normal memory cells or redundant memory cells; at least one One-Time Programmable (OTP) memory having a plurality of OTP cells configured to store one or more defective addresses, where the OTP cells can be programmed or read with at least one supply voltage no more than 4.0 Volts; and at least one match circuit configured to evaluate whether an access memory address has been stored as a defective address in the OTP memory. The memory can be repaired or configured by programming new defective addresses or configuration parameters into the OTP memory.
As a memory for in-system repairs and configurations, one embodiment can, for example, include at least one OTP memory integrated into a memory chip or an SoC. The OTP memory can be programmed to store defective addresses and enable/disable bits, once an in-system repair or configuration mechanism is activated. The data programmed into the OTP memory can be read and verified. The program voltages can be supplied from the core and I/O voltages without additional high voltage supplies or additional pins for the memory chips. It is useful that the memory chips have the same pin outs and voltage supplies as memory chips without this capability. It is even more useful that the program voltages being less than 4.0V, or 3.3V in other embodiment, to prevent damaging the memory chips. After programmed, the data stored in the OTP memory can be used to compare with the incoming address in a match circuit to turn on the normal or redundant cells during memory accesses. To reduce the OTP cell size and the OTP program voltage, the OTP cell can be an electrical fuse cell using at least one diode in standard CMOS processes as program selector.
As an electronic system, one embodiment can, for example, include at least: a processor; and a memory operatively connected to the processor. The memory can include at least: a plurality of normal memory cells to provide data storage; a plurality of redundant memory cells to repair one or more of the normal memory cells; at least one selector to select at least one of the normal memory cells or the redundant memory cells; at least one One-Time Programmable (OTP) memory having a plurality of OTP cells configured to store one or more defective addresses; and at least one comparison circuit configured to compare an access memory address with the one or more defective addresses stored in the OTP memory to produce a select signal used by the at least one selector to select at least one of the normal memory cells or the redundant memory cells. The memory can be repaired or configured by programming defective addresses or new configuration parameters into the OTP memory.
As an electronic system, one embodiment can, for example, include at least a processor, and a memory operatively connected to the processor. The memory can be provided in one or more memory chips. The electronic system can include an in-system repair or configuration mechanism. In one embodiment, the in-system repair or configuration mechanism for a memory chip can, for example, include at least one OTP memory integrated into the memory chip. The OTP memory can be programmed to store defective addresses and enable/disable bits, once the in-system repair or configuration mechanism is activated. The data programmed into the OTP memory can be read and verified. The program voltages can be supplied from core and I/O voltages without additional high voltage supplies or pins for the memory chips. It is useful that the memory chips can have the same pin outs and voltage supplies as memory chips without this capability. It is also useful that the program voltages can be less than 4.0V, or 3.3V in other embodiment. After being programmed, the data stored in the OTP memory can be used to compare with an incoming address in a match circuit to select the normal or redundant cells during memory access. To reduce the OTP cell size and the OTP program voltage, the OTP cell can be an electrical fuse cell using at least one diode in standard CMOS processes as program selector.
As a method for in-system repairing or configuring a memory, one embodiment can, for example, include at least: providing a plurality of normal memory cells and a plurality of redundant memory cells; providing at least a selector to select one or more of the normal cells or the redundant cells; storing at least one defective address in an OTP memory having a plurality of OTP cells configured to store defective addresses; and programming or reading at least one of the OTP cells in the OTP memory; and repairing the memory using the at least one defective address in the OTP memory to make use of at least one of the redundant memory cells.
As a method for providing in-system repair or configuration mechanism for a memory, one embodiment can, for example, include at least one One-Time Programmable (OTP) memory in at least one memory chip and at least one repair program. When the system is found not functional, at least one diagnosis program can be run to determine if any memory chips have defects. If any of the memory chips are found having new defects or performance degraded and repairable, a program can be run to repair the defects by instructing a CPU or embedded processor to inform a memory controller to generate proper timing waveforms to program the defective addresses and enable/disable bits into the OTP memory. The data programmed into the OTP memory can be read and verified. The repair program can be downloaded from the Internet in real time and in-situ in another embodiment. The program voltages can be supplied from a core and I/O voltages without additional high voltage supplies or pins for the memory chips. It is useful for the memory chips the same pin outs and voltage supplies as memory chips without this capability. It is even more useful that the program voltage being less than 4.0V, or even 3.3V in other embodiment, to prevent damaging the memory chips. After programmed, the data stored in the OTP memory can be used to compare with an incoming address in a match circuit to select the normal or redundant cells, respectively, during memory access. To reduce the OTP cell size and the OTP program voltage, the OTP cell can be an electrical fuse cell using at least one diode in standard CMOS processes as program selector.
As a memory, one embodiment can, for example, include at least: a plurality of normal memory cells and a plurality of redundant memory cells; at least one selector to select the normal memory cells or the redundant memory cells; and at least one One-Time Programmable (OTP) memory having a plurality of OTP cells configured to store defective addresses. Each of the OTP cells can include at least one segment of interconnect as OTP element. The memory can be configured or repaired by programming configuration parameters or defective address into the OTP memory while the memory being still electronically and physically connected within an electronic system.
The present invention will be readily understood by the following detailed descriptions in conjunction with the accompanying drawings, wherein like reference numerals designate like structural elements, and in which:
Embodiments disclosed herein use various schemes to repair and/or configure a memory while already provided in a system. Faulty memory in a system can be due to fatigue, wear out, stress, degraded performance (e.g., due to aging) or opens/shorts. When a memory chip is found faulty, the memory chip can be repaired or configured while installed in a system. This capability can substantially reduce costs for users, because the difficulties of finding replacement parts, taking the old parts off the system, and install new chips/modules can be very time consuming and costly.
Semiconductor devices may degrade after being used in a system for long period of time. The device degradations can cause a high leakage current, a shift in threshold voltage, reduction in drain current, change to contact/via resistance, or degradation of gate-oxide integrity, etc. At a functional level, a semiconductor chip may become faulty because of device degradation. Particularly, a memory chip may have new defective cells, or slower speed that fails to meet timing requirements. New defective cells can be replaced with redundant cells. Slower speed can be fixed by adjusting chip configuration parameters. DRAM is an example to illustrate the concept of in-system configuration according to one embodiment. In a DRAM (namely, in a DRAM chip package), there are RAS, CAS, and Taa that stand for Row-Access Strobe, Column-Access Strobe, and Address Access Time, respectively. During DRAM production tests, DRAM chips are programmed with proper RAS, CAS, and Taa cycles into non-volatile registers, called latency registers, for different bins. The non-volatile latency registers can be loaded into volatile registers upon initialization. A memory controller can access the latency information and then determine proper timing to access DRAMs. If the actual latency is longer than the latency set in the register, the DRAM would appear to be faulty. In such case the DRAM may be fixed by changing the latency register to a higher value.
According to one embodiment, a memory device can undergo in-system repair or configuration for memories using One-Time Programmable (OTP) memory. Memories found to be defective or performance degraded in a system can be repaired in-situ through use of OTP memory provided within the memories.
Several embodiments of the invention are discussed below with reference to
When the electronic system 30 is found not functional, the electronic system 30 can be rebooted in a privileged mode, e.g., safe mode in Window Operation System. A diagnosis program can be run to determine the causes of the system failure. If a system failure is due to memory defects in the memory 39, a repair program can be run to diagnose and possibly repair the memory 39 subsequently without taking the memory 39 out of the electronic system 30. The repair program run in a CPU 35 can communicate to a bus interface chip 36, which can further communicate to a memory controller 37. The memory controller 37 is responsible to generate read/write control signals for the memory 39, which includes one or more memory chips such as DRAM or flash. For simplicity, DRAM is used as an example to illustrate the concepts. The memory controller 37 can issue a serial of commands (CMD) signals to the DRAM. Issuing a CMD, such as a combination of CS/, WE/, CAS/, RAS/, and CKE, with address and input data, if needed, can do memory operations such as activation, pre-charge, refresh, read, or write in today's SDRAMs or DDR DRAMs.
When a memory, such as DRAM, is found mal-functional in a system, the first step is to find out how many redundant rows and/or columns in the memory 39 are available. For example, if a memory 39 has 3 redundant rows available but only two have been used, a new defective cell can be repaired by using the only one redundant row left. If there are two new defective cells found, this memory 39 can not be repaired unless these two cells are on the same row. The locations of the defective bits can be recorded by writing data into the memory and reading them back. Then, the addresses of the defective cells can be programmed into the OTP memory 32. This can be achieved by using the memory controller 37 to send a command (CMD) to activate the OTP memory 32 in a serial interface, such as SPI, I2C, or the like. The command can be a combination of control signals not used before. The activation can be simply setting a bit in a register, such as Mode Register, to trigger a state machine in the control logic 33. The data to be programmed can be supplied from the I/Os of the memory 39. After programming OTP memory 32 is completed, the OTP memory 32 can be verified by using the memory controller 37 issuing another command to read the OTP memory. The read command can be a combination of control signals not used before. The activation can be simply setting a bit in a register, such as Mode Register, to trigger a state machine in the control logic 33. The data of the OTP memory 32 can be read through the I/Os of the memory 39. The same procedure can be applied to other defective bits and enable/disable bits until the addresses of all defective bits are programmed into the OTP memory 32. The address match can include enable or disable bits set or unset in another embodiment. The volatile registers 36 can be used to store data from the OTP memory for high bandwidth and fast access. In other embodiment, the volatile registers can be loaded with the data to be repaired directly from the memory controller 37. Then the memory diagnosis program can be run again to make sure if the defective bits can be fixed before programming the same data into the OTP memory 32 permanently.
There are various and equivalent embodiments for this invention. In one embodiment, the memory chips can be found defected by running diagnosis programs in a privileged mode (e.g., safe mode in Window). If a memory chip is found having defective bits (within the memory block 31 in
The INVERT scheme in the macro level can be further described in the following. All bits need to be check if they are in the virgin state (i.e. 0-state) before the OTP macro can be used. If all bits are read 0s, this means there are no defects in the OTP memory such that this OTP macro can be ready for use. However, if every I/O bank has no more than a single bit read 1, this OTP macro can still be repaired by programming a bit in a specific column in the redundant row 182 as an INVERT bit for those I/O banks have single defect. Every time this OTP is ready for use, the INVERT bit needs to be read and stored in a latch/register, such as 191-0. Subsequently any data to be read from the OTP memory or to be programmed into the OTP memory will be inverted if the corresponding INVERT bits are programmed in each bank. Inversion of the data can be easily embodied as going through an XOR gate, such as 193-0 or 194-0, with the INVERT bit, in the read or program path, respectively. The INVERT bit cell and latch/register is associated with one I/O.
The above discussions are for illustrative purposes. The INVERT bit for each bank can be programmed or read individually. The INVERT bits can be stored in a redundant row in the OTP array or as individual OTP cells. The redundant row can be integrated into the OTP array or as a stand-alone row. The locations in the redundant row to store INVERT bits can vary and selected by specific Y-addresses. The mirror storage of the INVERT bits can be latches or flip-flops. Reading INVERT bits into volatile storage can be triggered during initialization, such as powering up, enable read/program, or enabling OTP macro.
Some in-system repair or configuration implementations can utilize some aspects in hardware.
The DRAM package shown in
The above discussions on memory packages (DIP, TSOP, BGA, FBGA, etc.), memory module, multiple-chip module, Wafer Scale Package (WSP), Wafer Level Package (WLP), package-in-package (PoP), System-in-Package (SiP), or 3D IC using bonding wires, TSVs, or combinations thereof are for illustrative purposes. The module or multiple-chip module can include at least one OTP memory integrated into any dies of same type of memory, mixed types of memory, processors, ASICs, or memory controllers. In addition, with stacked dies, the numbers of dies within the stack can vary. The number of bonding wires or TSVs can also vary. There are many varieties and equivalent embodiments.
The operation conditions of the cells corresponding to
The programmable resistive elements, diodes, and MOS in
The MOS devices shown in
The diodes or MOS devices built on active regions as shown in
The programmable resistive element in
A fuse made of a segment of interconnect can be used as an example to illustrate the concept of the present invention. The programmable resistive elements shown in
Polysilicon used to define CMOS gates or as interconnect in a high-K/metal-gate CMOS process can also be used as OTP elements. The fuse element can be P type, N type, or part N and part P type if applicable. The after/before resistance ratio can be enhanced for those fuse elements that have P+ and N+ implants to create a diode after being programmed, which can be done with polysilicon, polymetal, thermally isolated active region, or gate of a high-K/metal-gate CMOS. For example, if a metal-gate CMOS has a sandwich structure of polysilicon between metal alloy layers, the metal alloy layers may be blocked by masks generated from layout database to create a diode in the fuse elements. In SOI or SOI-like processes, a fuse element can also be constructed from a thermally isolated active region such that the fuse element can be implanted with N+, P+, or part N+ and part P+ in each end of the active region. If a fuse element is partly implanted with N+ and P+, the fuse element can behave like a reverse-biased diode, such as when silicide on top is depleted after being programmed. In one embodiment, if there is no silicide on top of active regions, an OTP element can also be constructed from an isolated active region with part N+ and part P+ acting as a diode for breakdown in forward or reverse biased conditions. Using isolated active region to construct an OTP element, the OTP element can be merged with part of the program-selector diode in one single active island to save area.
In some processing technologies that can offer local interconnect, the local interconnect can be used as part or all of an OTP element. Local interconnect, also called as metal-0 (M0), is a by-product of a salicide process that has the capability to directly interconnect polysilicon or MOS gate with an active region. In advanced MOS technologies beyond 28 nm, scaling along the silicon surface dimensions is much faster than scaling in the height. As a consequence, the aspect ratio of CMOS gate height to the channel length is very large such that making contacts between metal 1 and source/drain or CMOS gate very expensive in terms of device area and cost. Local interconnect can be used as an intermediate interconnect between source/drain to CMOS gate, between CMOS gate to metal 1, or between source/drain to metal 1 in one or two levels of interconnect. The local interconnects, CMOS gate, or combination can be used as an OTP element in one embodiment. The OTP element and one terminal of the program-selector diode can be connected directly through local interconnect without needing any contacts to save area in another embodiment.
Those skilled in the art understand that the above discussions are for illustration purposes and that there are many variations and equivalents in constructing electrical fuse, anti-fuse elements, or program selectors in CMOS processes.
The programmable resistive devices can be used to construct a memory in accordance with one embodiment.
To program a cell, the specific WLBi and YSWBj are turned on and a high voltage is supplied to VDDP, where i=0, 1, . . . n−1 and j=0, 1, . . . , m−1. In some embodiments, the reference cells can be programmed to 0 or 1 by turning on WLRBi, and YSWRB0, where i=0, 1, . . . , n−1. To read a cell, all SLs can be set to low and a dataline 160 can be selected by turning on the specific WLBi (read selector) and YSRj (Y read pass gate), where i=0, 1, . . . , n−1, and j=0, 1, . . . , m−1, and a reference cell coupled to the reference dataline DLR0161 can be selected for the sense amplifier 140 to sense and compare the resistance difference between normal and reference BLs to ground, while disabling all column write pass gates YSWBj and YSWRB0 where j=0, 1, . . . , m−1.
In
The embodiments of invention can be implemented in a part or all of an integrated circuit in a Printed Circuit Board (PCB), or in a system (e.g., electronic system, computer system, memory system, etc.). The programmable resistive device can be reversible programmable resistive device, such as the emerging non-volatile memory. The emerging non-volatile memory can be Magnetic RAM (MRAM), Phase Change Memory (PCM), Conductive Bridge RAM (CBRAM), or Resistive RAM (RRAM). Though the program mechanisms are different, their logic states can be distinguished by different resistance values, and therefore they are all different types of programmable resistive memories.
Additional details on OTP devices can be found in: (i) U.S. patent application Ser. No. 13/471,704, filed on May 15, 2012 and entitled “Circuit and System of Using Junction Diode as Program Selector for One-Time Programmable Devices,” which is hereby incorporated herein by reference; (ii) U.S. patent application Ser. No. 13/026,752, filed on Feb. 14, 2011 and entitled “Circuit and System of Using Junction Diode as Program Selector for One-Time Programmable Devices,” which is hereby incorporated herein by reference; and (iii) U.S. patent application Ser. No. 13/026,656, filed on Feb. 14, 2011 and entitled “Circuit and System of Using Polysilicon Diode As Program Selector for One-Time Programmable Devices,” which is hereby incorporated herein by reference.
The above description and drawings are only to be considered illustrative of exemplary embodiments, which achieve the features and advantages of certain embodiments of the present invention. Modifications and substitutions of specific process conditions and structures can be made without departing from the spirit and scope of the present invention.
The many features and advantages of the present invention are apparent from the written description and, thus, it is intended by the appended claims to cover all such features and advantages of the invention. Further, since numerous modifications and changes will readily occur to those skilled in the art, it is not desired to limit the invention to the exact construction and operation as illustrated and described. Hence, all suitable modifications and equivalents may be resorted to as falling within the scope of the invention.
This application claims priority benefit of a U.S. Provisional Patent Application No. 62/012,947, filed on Jun. 16, 2014, and entitled “SYSTEM AND METHOD OF A NOVEL REDUNDANCY SCHEME FOR OTP,” which is hereby incorporated herein by reference.
Number | Name | Date | Kind |
---|---|---|---|
3198670 | Nissim | Aug 1965 | A |
3715242 | Daniel | Feb 1973 | A |
4148046 | Hendrickson et al. | Apr 1979 | A |
4192059 | Khan et al. | Mar 1980 | A |
4642674 | Schoofs | Feb 1987 | A |
5192989 | Matsushita et al. | Mar 1993 | A |
5389552 | Iranmanesh | Feb 1995 | A |
5447876 | Moyer et al. | Sep 1995 | A |
5635742 | Hoshi et al. | Jun 1997 | A |
5637901 | Beigel et al. | Jun 1997 | A |
5680354 | Kawagoe | Oct 1997 | A |
5723890 | Fujihira et al. | Mar 1998 | A |
5757046 | Fujihira et al. | May 1998 | A |
5761148 | Allan et al. | Jun 1998 | A |
5962903 | Sung et al. | Oct 1999 | A |
5999463 | Park | Dec 1999 | A |
6002156 | Lin | Dec 1999 | A |
6008092 | Gould | Dec 1999 | A |
6034882 | Johnson et al. | Mar 2000 | A |
6054344 | Liang et al. | Apr 2000 | A |
6140687 | Shimomura et al. | Oct 2000 | A |
6243864 | Odani et al. | Jun 2001 | B1 |
6249472 | Tamura et al. | Jun 2001 | B1 |
6346727 | Ohtomo | Feb 2002 | B1 |
6388292 | Lin | May 2002 | B1 |
6400540 | Chang | Jun 2002 | B1 |
6405160 | Djaja et al. | Jun 2002 | B1 |
6461934 | Nishida et al. | Oct 2002 | B2 |
6483734 | Sharma et al. | Nov 2002 | B1 |
6597629 | Raszka et al. | Jul 2003 | B1 |
6611043 | Takiguchi | Aug 2003 | B2 |
6731535 | Ooishi et al. | May 2004 | B1 |
6770953 | Boeck et al. | Aug 2004 | B2 |
6798684 | Low et al. | Sep 2004 | B2 |
6803804 | Madurawe | Oct 2004 | B2 |
6813705 | Duesterwald et al. | Nov 2004 | B2 |
6897543 | Huang et al. | May 2005 | B1 |
6934176 | Low et al. | Aug 2005 | B2 |
6944083 | Pedlow | Sep 2005 | B2 |
6967879 | Mizukoshi | Nov 2005 | B2 |
7009182 | Kannan et al. | Mar 2006 | B2 |
7102951 | Paillet et al. | Sep 2006 | B2 |
7167397 | Paillet et al. | Jan 2007 | B2 |
7211843 | Low et al. | May 2007 | B2 |
7212432 | Ferrant et | May 2007 | B2 |
7224598 | Perner | May 2007 | B2 |
7263027 | Kim et al. | Aug 2007 | B2 |
7294542 | Okushima | Nov 2007 | B2 |
7369452 | Kenkare et al. | May 2008 | B2 |
7391064 | Tripsas et al. | Jun 2008 | B1 |
7411844 | Nitzan et al. | Aug 2008 | B2 |
7439608 | Arendt | Oct 2008 | B2 |
7461371 | Luo et al. | Dec 2008 | B2 |
7573762 | Kenkare et al. | Aug 2009 | B2 |
7589367 | Oh et al. | Sep 2009 | B2 |
7609578 | Buer et al. | Oct 2009 | B2 |
7660181 | Kumar et al. | Feb 2010 | B2 |
7696017 | Tripsas et al. | Apr 2010 | B1 |
7701038 | Chen et al. | Apr 2010 | B2 |
7764532 | Kurjanowicz et al. | Jul 2010 | B2 |
7772591 | Shih et al. | Aug 2010 | B1 |
7802057 | Iyer et al. | Sep 2010 | B2 |
7808815 | Ro et al. | Oct 2010 | B2 |
7830697 | Herner | Nov 2010 | B2 |
7833823 | Klersy | Nov 2010 | B2 |
7852656 | Shin et al. | Dec 2010 | B2 |
7859920 | Jung | Dec 2010 | B2 |
7889204 | Hansen et al. | Feb 2011 | B2 |
7910999 | Lee et al. | Mar 2011 | B2 |
8008723 | Nagai | Aug 2011 | B2 |
8050129 | Liu et al. | Nov 2011 | B2 |
8089137 | Lung et al. | Jan 2012 | B2 |
8115280 | Chen et al. | Feb 2012 | B2 |
8119048 | Nishimura | Feb 2012 | B2 |
8168538 | Chen et al. | May 2012 | B2 |
8174063 | Liu et al. | May 2012 | B2 |
8174922 | Naritake | May 2012 | B2 |
8179711 | Kim et al. | May 2012 | B2 |
8183665 | Bertin et al. | May 2012 | B2 |
8217490 | Bertin et al. | Jul 2012 | B2 |
8233316 | Liu et al. | Jul 2012 | B2 |
8339079 | Tamada | Dec 2012 | B2 |
8369166 | Kurjanowicz et al. | Feb 2013 | B2 |
8373254 | Chen et al. | Feb 2013 | B2 |
8380768 | Hoefler | Feb 2013 | B2 |
8415764 | Chung | Apr 2013 | B2 |
8482972 | Chung | Jul 2013 | B2 |
8488359 | Chung | Jul 2013 | B2 |
8488364 | Chung | Jul 2013 | B2 |
8503141 | Mallikarjunaswamy | Aug 2013 | B2 |
8514606 | Chung | Aug 2013 | B2 |
8526254 | Kurjanowicz et al. | Sep 2013 | B2 |
8559208 | Chung | Oct 2013 | B2 |
8570800 | Chung | Oct 2013 | B2 |
8576602 | Chung | Nov 2013 | B2 |
8598639 | Hsin | Dec 2013 | B2 |
8643085 | Pfirsch | Feb 2014 | B2 |
8644049 | Chung | Feb 2014 | B2 |
8648349 | Masuda et al. | Feb 2014 | B2 |
8649203 | Chung | Feb 2014 | B2 |
8680620 | Salcedo | Mar 2014 | B2 |
8699259 | Zhang et al. | Apr 2014 | B2 |
8760904 | Chung | Jun 2014 | B2 |
8804398 | Chung | Aug 2014 | B2 |
8817563 | Chung | Aug 2014 | B2 |
8830720 | Chung | Sep 2014 | B2 |
8848423 | Chung | Sep 2014 | B2 |
8854859 | Chung | Oct 2014 | B2 |
8861249 | Chung | Oct 2014 | B2 |
8913415 | Chung | Dec 2014 | B2 |
8913449 | Chung | Dec 2014 | B2 |
8923085 | Chung | Dec 2014 | B2 |
8929122 | Chung | Jan 2015 | B2 |
8988965 | Chung | Mar 2015 | B2 |
9019742 | Chung | Apr 2015 | B2 |
9019791 | Chung | Apr 2015 | B2 |
9025357 | Chung | May 2015 | B2 |
9070437 | Chung | Jun 2015 | B2 |
9178100 | Webster | Nov 2015 | B2 |
9236141 | Chung | Jan 2016 | B2 |
9281038 | Chung | Mar 2016 | B2 |
20020075744 | McCollum | Jun 2002 | A1 |
20020168821 | Williams et al. | Nov 2002 | A1 |
20020196659 | Hurst et al. | Dec 2002 | A1 |
20030104860 | Cannon et al. | Jun 2003 | A1 |
20030135709 | Niles et al. | Jul 2003 | A1 |
20030169625 | Hush et al. | Sep 2003 | A1 |
20040057271 | Parkinson | Mar 2004 | A1 |
20040113183 | Karpov et al. | Jun 2004 | A1 |
20040130924 | Ma et al. | Jul 2004 | A1 |
20050060500 | Luo et al. | Mar 2005 | A1 |
20050062110 | Dietz et al. | Mar 2005 | A1 |
20050110081 | Pendharkar | May 2005 | A1 |
20050124116 | Hsu et al. | Jun 2005 | A1 |
20050146962 | Schreck | Jul 2005 | A1 |
20050242386 | Ang | Nov 2005 | A1 |
20060072357 | Wicker | Apr 2006 | A1 |
20060092689 | Braun et al. | May 2006 | A1 |
20060104111 | Tripsas et al. | May 2006 | A1 |
20060120148 | Kim et al. | Jun 2006 | A1 |
20060129782 | Bansal et al. | Jun 2006 | A1 |
20060215440 | Cho et al. | Sep 2006 | A1 |
20060244099 | Kurjanowicz | Nov 2006 | A1 |
20070004160 | Voldman | Jan 2007 | A1 |
20070030026 | Hsu et al. | Feb 2007 | A1 |
20070057323 | Furukawa et al. | Mar 2007 | A1 |
20070081377 | Zheng et al. | Apr 2007 | A1 |
20070133341 | Lee et al. | Jun 2007 | A1 |
20070138549 | Wu et al. | Jun 2007 | A1 |
20070223266 | Chen | Sep 2007 | A1 |
20070279978 | Ho et al. | Dec 2007 | A1 |
20080025068 | Scheuerlein et al. | Jan 2008 | A1 |
20080028134 | Matsubara et al. | Jan 2008 | A1 |
20080044959 | Cheng et al. | Feb 2008 | A1 |
20080067601 | Chen | Mar 2008 | A1 |
20080105878 | Ohara | May 2008 | A1 |
20080151612 | Pellizzer et al. | Jun 2008 | A1 |
20080170429 | Bertin et al. | Jul 2008 | A1 |
20080175060 | Liu et al. | Jul 2008 | A1 |
20080220560 | Klersy | Sep 2008 | A1 |
20080225567 | Burr et al. | Sep 2008 | A1 |
20080280401 | Burr et al. | Nov 2008 | A1 |
20080316852 | Matsufuji et al. | Dec 2008 | A1 |
20090055617 | Bansal et al. | Feb 2009 | A1 |
20090115021 | Moriwaki | May 2009 | A1 |
20090168493 | Kim et al. | Jul 2009 | A1 |
20090172315 | Iyer et al. | Jul 2009 | A1 |
20090180310 | Shimomura et al. | Jul 2009 | A1 |
20090194839 | Bertin et al. | Aug 2009 | A1 |
20090213660 | Pikhay et al. | Aug 2009 | A1 |
20090219756 | Schroegmeier et al. | Sep 2009 | A1 |
20090309089 | Hsia et al. | Dec 2009 | A1 |
20100027326 | Kim et al. | Feb 2010 | A1 |
20100061136 | Koyama et al. | Mar 2010 | A1 |
20100085798 | Lu et al. | Apr 2010 | A1 |
20100091546 | Liu et al. | Apr 2010 | A1 |
20100142254 | Choi et al. | Jun 2010 | A1 |
20100157651 | Kumar et al. | Jun 2010 | A1 |
20100171086 | Lung et al. | Jul 2010 | A1 |
20100232203 | Chung et al. | Sep 2010 | A1 |
20100238701 | Tsukamoto et al. | Sep 2010 | A1 |
20100246237 | Borot et al. | Sep 2010 | A1 |
20100277967 | Lee et al. | Nov 2010 | A1 |
20100301304 | Chen et al. | Dec 2010 | A1 |
20110022648 | Harris et al. | Jan 2011 | A1 |
20110062557 | Bandyopadhyay et al. | Mar 2011 | A1 |
20110128772 | Kim et al. | Jun 2011 | A1 |
20110145777 | Iyer et al. | Jun 2011 | A1 |
20110175199 | Lin et al. | Jul 2011 | A1 |
20110222330 | Lee et al. | Sep 2011 | A1 |
20110260289 | Oyamada | Oct 2011 | A1 |
20110267869 | Hoefler | Nov 2011 | A1 |
20110297912 | Samachisa et al. | Dec 2011 | A1 |
20110310655 | Kreupl et al. | Dec 2011 | A1 |
20110312166 | Yedinak et al. | Dec 2011 | A1 |
20120032303 | Elkareh et al. | Feb 2012 | A1 |
20120039107 | Chung | Feb 2012 | A1 |
20120044736 | Chung | Feb 2012 | A1 |
20120044737 | Chung | Feb 2012 | A1 |
20120044738 | Chung | Feb 2012 | A1 |
20120044739 | Chung | Feb 2012 | A1 |
20120044740 | Chung | Feb 2012 | A1 |
20120044743 | Chung | Feb 2012 | A1 |
20120044744 | Chung | Feb 2012 | A1 |
20120044745 | Chung | Feb 2012 | A1 |
20120044746 | Chung | Feb 2012 | A1 |
20120044747 | Chung | Feb 2012 | A1 |
20120044748 | Chung | Feb 2012 | A1 |
20120044753 | Chung | Feb 2012 | A1 |
20120044756 | Chung | Feb 2012 | A1 |
20120044757 | Chung | Feb 2012 | A1 |
20120044758 | Chung | Feb 2012 | A1 |
20120047322 | Chung | Feb 2012 | A1 |
20120074460 | Kitagawa | Mar 2012 | A1 |
20120106231 | Chung | May 2012 | A1 |
20120147653 | Chung | Jun 2012 | A1 |
20120147657 | Sekar et al. | Jun 2012 | A1 |
20120209888 | Chung | Aug 2012 | A1 |
20120224406 | Chung | Sep 2012 | A1 |
20120256292 | Yu et al. | Oct 2012 | A1 |
20120287730 | Kim | Nov 2012 | A1 |
20120314472 | Chung | Dec 2012 | A1 |
20120314473 | Chung | Dec 2012 | A1 |
20120320656 | Chung | Dec 2012 | A1 |
20120320657 | Chung | Dec 2012 | A1 |
20130148409 | Chung | Jun 2013 | A1 |
20130161780 | Kizilyalli et al. | Jun 2013 | A1 |
20130189829 | Mieczkowski et al. | Jul 2013 | A1 |
20130200488 | Chung | Aug 2013 | A1 |
20130201745 | Chung | Aug 2013 | A1 |
20130201746 | Chung | Aug 2013 | A1 |
20130201748 | Chung | Aug 2013 | A1 |
20130201749 | Chung | Aug 2013 | A1 |
20130208526 | Chung | Aug 2013 | A1 |
20130215663 | Chung | Aug 2013 | A1 |
20130235644 | Chung | Sep 2013 | A1 |
20130268526 | Johns et al. | Oct 2013 | A1 |
20130308366 | Chung | Nov 2013 | A1 |
20140010032 | Seshadri et al. | Jan 2014 | A1 |
20140016394 | Chung et al. | Jan 2014 | A1 |
20140071726 | Chung | Mar 2014 | A1 |
20140092674 | Chung | Apr 2014 | A1 |
20140124871 | Ko et al. | May 2014 | A1 |
20140124895 | Salzman et al. | May 2014 | A1 |
20140126266 | Chung | May 2014 | A1 |
20140131710 | Chung | May 2014 | A1 |
20140131711 | Chung | May 2014 | A1 |
20140131764 | Chung | May 2014 | A1 |
20140133056 | Chung | May 2014 | A1 |
20140160830 | Chung | Jun 2014 | A1 |
20140211567 | Chung | Jul 2014 | A1 |
20140269135 | Chung | Sep 2014 | A1 |
20140340954 | Chung | Nov 2014 | A1 |
20150003142 | Chung | Jan 2015 | A1 |
20150003143 | Chung | Jan 2015 | A1 |
20150009743 | Chung | Jan 2015 | A1 |
20150014785 | Chung | Jan 2015 | A1 |
20150021543 | Chung | Jan 2015 | A1 |
20150029777 | Chung | Jan 2015 | A1 |
20150078060 | Chung | Mar 2015 | A1 |
20160071582 | Chung | Mar 2016 | A1 |
Number | Date | Country |
---|---|---|
1469473 | Jan 2004 | CN |
1691204 | Nov 2005 | CN |
101057330 | Oct 2007 | CN |
101083227 | Dec 2007 | CN |
101188140 | May 2008 | CN |
101271881 | Sep 2008 | CN |
101483062 | Jul 2009 | CN |
101728412 | Jun 2010 | CN |
1367596 | Dec 2003 | EP |
03-264814 | Nov 1991 | JP |
I309081 | Oct 2007 | TW |
Entry |
---|
U.S. Appl. No. 13/471,704, filed May 15, 2012. |
U.S. Appl. No. 13/026,650, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,656, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,664, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,678, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,692, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,704, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,717, filed Feb. 14, 2011. |
U. S. Appl. No. 13/026,725, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,752, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,771, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,783, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,835, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,840, filed Feb. 14, 2011. |
U.S. Appl. No. 13/026,852, filed Feb. 14, 2011. |
U.S. Appl. No. 13/214,198, filed Aug. 21, 2011. |
U.S. Appl. No. 13/590,044, filed Aug. 20, 2012. |
U.S. Appl. No. 13/590,047, filed Aug. 20, 2012. |
U.S. Appl. No. 13/590,049, filed Aug. 20, 2012. |
U.S. Appl. No. 13/590,050, filed Aug. 20, 2012. |
U.S. Appl. No. 13/214,183, filed Aug. 20, 2011. |
U.S. Appl. No. 13/288,843, filed Nov. 3, 2011. |
U.S. Appl. No. 13/314,444, filed Dec. 8, 2011. |
U.S. Appl. No. 13/397,673, filed Feb. 15, 2012. |
U.S. Appl. No. 13/571,797, filed Aug. 10, 2012. |
U.S. Appl. No. 13/678,539, filed Nov. 15, 2012. |
U.S. Appl. No. 13/678,544, filed Nov. 15, 2012. |
U.S. Appl. No. 13/678,541, filed Nov. 15, 2012. |
U.S. Appl. No. 13/678,543, filed Nov. 15, 2012. |
Ahn, S.J. et al, “Highly Reliable 50nm Contact Cell Technology for 256Mb PRAM,” IEEE VLSI Tech Symp., Jun. 2005, pp. 98-99. |
Alavi, Mohsen, et al., “A PROM Element Based on Salicide Allgomeration of Poly Fuses in a CMOS Logic Process,” IEEE IEDM, 97, pp. 855-858. |
Andre, T. W. et al., “A 4-Mb 0.18um 1T1MTJ Toggle MRAM With Balanced Three Input Sensing Scheme and Locally Mirrored Unidirectional Write Drivers,” IEEE J. of Solid-State Circuits, vol. 40, No. 1, Jan. 2005, pp. 301-309. |
Ang, Boon et al., “NiSi Polysilicon Fuse Reliability in 65nm Logic CMOS Technology,” IEEE Trans. on Dev. Mat. Rel. vol. 7, No. 2, Jun. 2007, pp. 298-303. |
Aziz, A. et al., “Lateral Polysilicon n+p Diodes: Effect of the Grain boundaries and of the p-Implemented Doping Level on the I-V and C-V Characteristics,” Springer Proceedings in Physics, vol. 54, 1991, pp. 318-322. |
Aziz, A. et al., “Lateral Polysilicon PN Diodes: Current-Voltage Characteristics Simulation Between 200K and 400K a Numerical Approach,” IEEE Trans. On Elec. Dev., vol. 41, No. 2, Feb. 1994, pp. 204-211. |
Banerjee, Kaustav et al., “High Current Effects in Silicide Films for Sub-0.25um VLSI Technologies,” IEEE 36th IRPS, 1998, pp. 284-292. |
Bedeschi, F. et al., “4-Mb MOSFET-Selected uTrench Phase-Change Memory Experimental Chip,” IEEE J. of Solid-State Circuits, vol. 40, No. 7, Jul. 2005, pp. 1557-1565. |
Bedeschi, F. et al., “A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage,” IEEE J. Sol. Stat. Cir., vol. 44, No. 1, Jan. 2009, pp. 217-227. |
Bedeschi, F. et al., “A Fully Symmetrical Sense Amplifier for Non-volatile Memories,” IEEE. Int. Symp. On Circuits and Systems, (ISCAS), vol. 2, 2004, pp. 625-628. |
Bedeschi, F. et al., “An 8Mb Demonstrator for High-Density 1.8V Phase-Change Memories,” VLIS Cir. Symp, Jun. 2004, pp. 442-445. |
Bedeschi, F. et al., “SET and RESET Pulse Characterization in BJT-Selected Phase-Change Memory,” IEEE Int. Symp. On Circuits and Systems (ISCAS), 2005, pp. 1270-1273. |
Braganca, P. M. et al., “A Three-Terminal Approach to Developing Spin-Torque Written Magnetic Random Access Memory Cells,” IEEE Trans. on Nano. vol. 8, No. 2, Mar. 2009, pp. 190-195. |
Cagli, C. et al., “Evidence for threshold switching in the set process of NiO-based RRAM and physical modeling for set, reset, retention and disturb prediction,” IEEE IEDM, 2008, pp. 1-4. |
Chan, W. T. et al., “CMOS Compatible Zero-Mask One-Time Programmable (OTP) Memory Design,” Proc. Int. Conf. Solid State Integr. Cir. Tech., Beijing, China, Oct. 20-23, 2008. pp. 861-864. |
Chan, Wan Tim, et al., “CMOS Compatible Zero-Mask One Time Programmable.Memory Design”, Master Thesis, Hong-Kong University of Science and Technologies, 2008. |
Chang, Meng-Fan et al., “Circuit Design Challenges in Embedded Memory and Resistive RAM (RRAM) for Mobile SoC and 3D-IC”, Design Automation Conference (ASP-DAC), 16th Asia and South Pacific, 2011, pp. 197-203. |
Cheng, Yu-Hsing et al., “Failure Analysis and Optimization of Metal Fuses for Post Package Trimming,” IEEE 45th IRPS, 2007, pp. 616-617. |
Chiu, Pi-Feng et al., “A Low Store Energy, Low VDDmin, Nonvolatile 8T2R SRAM with 3D Stacked RRAM Devices for Low Power Mobile Applications,” IEEE VLSI Cir./Tech Symp., Jun. 2010, pp. 229-230. |
Cho, Woo Yeong et al., “A 0.18um 3.0V 64Mb Non-Volatile Phase-Transition Random-Access Memory (PRAM),” ISSCC, Feb. 2004, Sec. 2-1. |
Choi, Sang-Jun et al., “Improvement of CBRAM Resistance Window by Scaling Down Electrode Size in Pure-GeTe Film,” IEEE Elec. Dev., vol. 30, No. 2, Feb. 2009, pp. 120-122. |
Choi, Youngdon et al., “A 20nm 1.8V 8Gb PRAM with 40MB/s Program Bandwidth,” IEEE ISSCC, 2012, pp. 46-47. |
Chung, S. et al., “A 1.25um2 Cell 32Kb Electrical Fuse Memory in 32nm CMOS with 700mV Vddmin and Parallel/Serial Interface,” VLSI Cir. Symp., Jun. 2009, pp. 30-31 |
Chung, S. et al., “A 512×8 Electrical Fuse Memory with 15um2 Cells Using 8-sq Asymmetrical Fuse and Core Devices in 90nm CMOS,” VLSI Cir. Symp., Jun. 2007, pp. 74-75. |
Crowley, Matthew et al., “512Mb PROM with 8 Layers of Antifuse/Diode Cells,” IEEE ISSCC 2003, Sec. 16.4. |
De Sandre, Guido et al., “A 4Mb LV MOS-Selected Embedded Phase Change.Memory in 90nm Standard CMOS Technology,” IEEE J. Sol. Stat. Cir, vol. 46. No. 1, Jan. 2011, pp. 52-63. |
De Sandre, Guido et al., “A 90nm 4Mb Embedded Phase-Change Memory with 1.2V 12ns Read Access Time and 1MB/s Write Throughput,” ISSCC 2010, Sec. 14.7. |
Desikan, Rajagopalan et al., “On-Chip MRAM as a High-Bandwidth Low-Latency Replacement for DRAM Physical Memories,” Tech Report TR-02-47, Dept. of Computer Science, University of Texas, Austin, Sep. 27, 2002, 18 pages. |
Dietrich, Stefan et al., “A Nonvolatile 2-Mbit CBRAM Memory Core Featuring Advanced Read and Program Control,” IEEE J. of Solid-Stat Cir., vol. 42, No. 4, Apr. 2007, pp. 839-845. |
Dion, Michael J., “Reservoir Modeling for Electromigration Improvement of Metal Systems with Refractory Barriers,” IEEE 39th IRPS, 2001, pp. 327-333. |
Doom, T. S. et al., “Ultra-fast Programming of Silicided Polysilicon Fuses Based on New Insights in the Programming Physics,” IEEE IEDM, 2005, pp. 667-670. |
Doorn, T. S., “Detailed Qualitative Model for the Programming Physics of Silicided Polysilicon Fuses,” IEEE Trans. on Elec. Dev. vol. 54, No. 12, Dec. 2007, pp. 3285-3291. |
Durlam, M. et al., “A 1-Mbit MRAM Based on 1T1MTJ Bit Cell Integrated With Copper Interconnects,” IEEE J. of Solid-State Circuits, vol. 38, No. 5, May 2003, pp. 769-773. |
Engel, B. et al., “The Science and Technology of Magnetoresistive Tunnel Memory,”IEEE Tran. on Nanotechnology, vol. 1, No. 1, Mar. 2002, pp. 32-38. |
Engel, B.N. et al., “A 4Mb Toggle MRAM Based on a Novel bit and Switching Method,” IEEE Trans. on Mag. vol. 41, No. 1, Jan. 2005, pp. 132-136. |
Fellner, Johannes, et al., “Lifetime Study for a Poly Fuse in a 0.35um Polycide CMOS Process,” IEEE 43rd IRPS, 2005, pp. 446-449. |
Gao, B. et al., “Oxide-Based RRAM: Uniformity Improvement Using a New Material-Oriented Methodology,” IEEE VLSI Tech. Symp., Jun. 2009, pp. 30-31. |
Gao, B. et al., “Oxide-Based RRAM Switching Mechanism: A New Ion-Transport-Recombination Model,” IEDM, Dec. 2008, pp. 563-566. |
Gill, M. et al., “Ovonic Unified Memory—A High Performance Nonvolatile Memory Technology for Stand-Alone Memory and Embedded Applications,” IEEE, ISSCC Dig. of Tech. Paper, Feb. 2002, pp. 202-203. |
Gogl, D. et al., “A 16-Mb MRAM Featuring Bootstrapped Write Drivers,” IEEE J. of Solid-State Circuits, vol. 40, No. 4, Apr. 2005, pp. 902-908. |
Gopalan, C. et al., Demonstration of Conductive Bridging Random Access Memory (CBRAM) in Logic CMOS Process, IEEE Int. Memory Workshop, 2010, pp. 1-4. |
Ha, Daewon and Kim, Kinam, “Recent Advances in High Density Phase Change Memory (PRAM),” IEEE VLSI Tech. Symp. Jun. 2007. |
Hosoi, Y. et al., “High Speed Unipolar Switching Resistance RAM (RRAM) Technology,” IEEE IEDM, Dec. 2006, pp. 1-4. |
Hosomi, M. et al., “A Novel Nonvolatile Memory with Spin Torque Transfer.Magnetization Switching: Spin-RAM,” IEEE IEDM Dig. of Tech. Paper, Dec. 2005, pp. 459-463. |
Huang, Chia-En et al., “A New CMOS Logic Anti-Fuse Cell with Programmable Contact,” IEEE IEDM Tech. Dig. 2007, pp. 48-51. |
Im, Jay et al., “Characterization of Silicided Polysilicon Fuse Implemented in 65nm CMOS Technology,” 7th Annual Non-Volatile Memory Technology Symp, (NVMTS) 2006, pp. 55-57. |
Jin, Li-Yan et al., “Low-Area 1-Kb Multi-Bit OTP IP Design,” IEEE 8th Int. Conf. on ASIC (ASICON), 2009. pp. 629-632. |
Johnson, Mark et al., “512Mb PROM with a Three-Dimensional Array of Diode/Antifuse Memory Cells,” IEEE J. of Sol. Stat. Cir., vol. 38, No. 11, Nov. 2003, pp. 1920-1928. |
Kalnitsy, Alexander et al., “CoSi2 Integrated Fuses on Poly Silicon for Low Voltage 0.18um CMOS Applications,” IEEE IEDM 1999, pp. 765-768. |
Kang, Han-Byul et al., “Electromigration of NiSi Poly Gated Electrical Fuse and Its Resistance Behaviors Induced by High Temperature,” IEEE IRPS, 2010, pp. 265-270. |
Kang, Sangbeom et al., “A 0.1um 1.8V 256Mb Phase-Change Random Access.Memory (PRAM) with 66Mhz Synchronous Burst-Read,” IEEE J. of Sol. Stat. Cir. vol. 42. No. 1, Jan. 2007, pp. 210-218. |
Kawahara, T. et al., “2Mb Spin-Transfer Torque RAM (SPRAM) with Bit-by-Bit Bidirectional Current Write and Parallelizing-Direction Current Read,” IEEE ISSCC Dig. of Tech. Paper, Feb. 2007, pp. 480-481. |
Ker, Ming-Dou et al., “High-Current Characterization of Polysilicon Diode for Electrostatic Discharge Protection in Sub-Quarter-Micron Complementary Metal Oxide Semiconductor Technology,” Jpn. J. Appl. Phys. vol. 42 (2003) pp. 3377-3378. |
Ker, Ming-Dou et al., “Ultra-High-Voltage Charge Pump Circuit in Low-Voltage Bulk CMOS Processes With Polysilicon Diodes,” IEEE Trans. on Cir. And Sys.-II: Exp. Brief., vol. 54, No. 1, Jan. 2007, pp. 47-51. |
Kim, Deok-Kee et al., “An Investigation of Electrical Current Induced Phase Transitions in the NiPtSi/Polysilicon System,” J. App. Phy. 103, 073708 (2008). |
Kim, I. S. et al., “High Performance PRAM Cell Scalable to sub-20nm Technology with below 4F2 Cell Size, Extendable to DRAM Applications,” IEEE VLSI Tech Symp., Jun. 2010, pp. 203-204. |
Kim, Jinbong et al., “3-Transistor Antifuse OTP ROM Array Using Standard CMOS Process,” IEEE VLSI Cir. Symposium, Jun. 2003, pp. 239-242. |
Kim, O. et al., “CMOS trimming circuit based on polysilicon fusing,” Elec. Lett. vol. 34, No. 4, pp. 355-356, Feb. 1998. |
Klee, V. et al., “A 0.13um Logic-Based Embedded DRAM Technology with Electrical Fuses, Cu Interconnect in SiLK, sub-7ns Random Access Time and its Extension to the 0.10um Generation,” IEEE IEDM, 2001, pp. 407-410. |
Kothandaramam, C. et al., “Electrically programmable fuse (eFUSE) using electromigration in silicides,” IEEE Elec. Dev. Lett., vol. 23, No. 9, pp. 523-525, Sep. 2002. |
Kulkarni, S. et al., “High-Density 3-D Metal-Fuse PROM Featuring 1.37um2 1T1R Bit Cell in 32nm High-K Metal-Gate CMOS Technology,” VLSI Cir. Symp., Jun. 2009 pp. 28-29. |
Kulkarni, S. et al., “A 4Kb Metal-Fuse OTP-ROM Macro Featuring a 2V Programmable 1.37um2 1T1R Bit Cell in 32nm High-K Metal-Gate CMOS,” IEEE J. of Sol. Stat. Cir, vol. 45, No. 4, Apr. 2010, pp. 863-868. |
Kund, Michael et al., “Conductive Bridging RAM (CBRAM): An Emerging Non-Volatile Memory Technology Scalable to Sub 20nm,” IEEE IEDM 2005, pp. 754-757. |
Lai, Han-Chao et al., “A 0.26um2 U-Shaped Nitride-Based Programming Cell on Pure 90nm CMOS Technology,” IEEE Elec. Dev. Lett. vol. 28, No. 9, Sep. 2007, pp. 837-839. |
Lai, S., “Current Status of the Phase Change Memory and Its Future,” IEEE IEDM Dig. of Tech. Paper, Dec. 2003, pp. 255-258. |
Lee, H. Y. et al., “Low Power and High Speed Bipolar Switching with a Thin Reactive Ti Buffer Layer in Robust HfO2 Based RRAM,” IEEE IEDM, 2008, pp. 1-4. |
Lee, K.J., et al., “A 90nm 1.8V 512Mb Diode-Switch PRAM with 266MB/s Read Throughout,” IEEE ISSCC, Dig. of Tech. Paper, Feb. 2007, 3 pgs. |
Lee, Kwang-Jin et al., “A 90nm 1.8V 512Mb Diode-Switch PRAM with 266MB/s Read Throughput,” IEEE J. of Sol. Stat. Cir., vol. 43, No. 1, Jan. 2008, pp. 150-162. |
Lee, M.-J. et al., “Stack Friendly all-Oxide 3D RRAM Using GaInZnO Peripheral TFT Realized Over Glass Substrates,” IEDM, Dec. 2008. pp. 1-4. |
Lee, Man Chiu et al., “OTP Memory for Low Cost Passive RFID Tags,” IEEE Conf. on Electron Devices and Solid-State Circuits (EDSSC), 2007, pp. 633-636. |
Liaw, Corvin et al., “The Conductive Bridging Random Access Memory (CBRAM): A Non-volatile Multi-Level Memory Technology,” 37th European Solid-State Device Research Conference (ESSDERC), 2007, pp. 226-229. |
Lim, Kyunam et al., “Bit Line Coupling Scheme and Electrical Fuse Circuit for Reliable Operation of High Density DRAM,” IEEE VLSI Cir. Symp. Jun. 2001, pp. 33-34. |
Maffitt, T. et al., “Design Considerations for MRAM,” IBM J. Res. & Dev., vol. 50, No. 1, Jan. 2006, pp. 25-39. |
Meng, X.Z. et al., “Reliability Concept for Electrical Fuses,” IEE Proc.-Sci Meas. Technol., vol. 144, No. 2, Mar. 1997, pp. 87-92. |
Min, Byung-Jun et al., “An Embedded Non-volatile FRAM with Electrical Fuse Repair Scheme and One Time Programming Scheme for High Performance Smart Cards,” IEEE CICC, Nov. 2005, pp. 255-258. |
Mojumder, N. N. et al., “Three-Terminal Dual-Pillar STT-MRAM for High Performance Robust Memory Applications,” IEEE Trans. Elec. Dev. vol. 58. No. 5, May 2011, pp. 1508-1516. |
Morimoto, T. et al., “A NiSi Salicide Technology for Advanced Logic Devices,” IEEE IEDM, Dec. 1991, pp. 653-656. |
Neale, Ron, “PCM Progress Report No. 6 Afterthoughts,” http://www.eetimes.com/General/PrintView/4236240, Feb. 13, 2012, 5 pages. |
Nebashi, R. et al., “A 90nm 12ns 32Mb 2T1MTJ MRAM,” IEEE ISSCC Dig. of Tech. Paper, Sess. 27.4, Feb. 2009, 3 pages. |
Ng, K.P. et al., “Diode-Base Gate Oxide Anti-Fuse One-Time Programmable Memory Array in Standard CMOS Process,” IEEE Int. Conf. of Elect. Dev. & Solid-Stat Cir. (EDSSC), Dec. 2009, pp. 457-460. |
Ohbayashi, Shigeki et al., “A 65nm Embedded SRAM With Wafer Level Burn-In Mode, Leak-Bit Redundancy and Cu E-Trim Fuse for Known Good Die,” IEEE J. of Solid. Stat. Cir., vol. 43, No. 1, Jan. 2008, pp. 96-108. |
Oh, G. H. et al., “Parallel Multi-Confined (PMC) Cell Technology for High Density MLC PRAM,” IEEE VLSI Tech. Symp., Jun. 2009, pp. 220-221. |
Oh, J. H. et al., “Full Integration of Highly Manufacturable 512Mb PRAM Based on 90nm Technology,” IEEE IEDM Dig. of Tech. Paper, Dec. 2006, pp. 1-4. |
Osada, K. et al., “Phase Change RAM Operated with 1.5V CMOS as Low Cost Embedded Memory,” IEEE CICC, Nov. 2005, pp. 431-434. |
Park, Don et al., “Study on Reliability of Metal Fuse for Sub-100nm Technology,” IEEE Int. Symp. On Semiconductor Manufacturing (ISSM), 2005, pp. 420-421. |
Park, Jongwoo et al., “Phase Transformation of Programmed NiSi Electrical Fuse: Diffusion, Agglomeration, and Thermal Stability,” 18th IEEE Int. Symp. On Physical and Failure Analysis of Integrated Circuits, (IPFA), 2011, pp. 1-7. |
Park, Young-Bae et al., “Design of an eFuse OTP Memory of 8 Bits Based on a 0.35um BCD Process,” Mobile IT Convergence (ICMIC), 2011 Int. Conf. on, pp. 137-139. |
Pellizzer, F. et al., “Novel uTrench Phase-Change Memory Cell for Embedded and Stand-alone Non-Volatile Memory Applications,” IEEE VLSI Tech Symp. Jun. 2004, pp. 18-19. |
Peng, J. et al., “A Novel Embedded OTP NVM Using Standard Foundry CMOS Logic Technology,” IEEE 21st Non-Volatile Semiconductor Memory Workshop (NVSMW) 2006, pp. 24-26. |
Rizzolo, R. F. et al., “IBM System z9 eFUSE applications and methodology,” IBM J. Res. & Dev. vol. 51 No. 1/2 Jan./Mar. 2007, pp. 65-75. |
Robson, Norm et al., “Electrically Programmable Fuse (eFuse) from Memory Redundancy to Autonomic Chips,” IEEE CICC, 2007, pp. 799-804. |
Russo, U. et al., “Conductive-Filament Switching Analysis and Self-Accelerated Thermal Dissolution Model for Reset in NiO-based RRAM,” IEDM, Dec. 2007, pp. 775-778. |
Safran, J. et al., “A Compact eFUSE Programmable Array Memory for SOI CMOS,” VLSI Cir. Symp. Jun. 2007, pp. 72-73. |
Sasaki, Takahiko et al., “Metal-Segregate-Quench Programming of Electrical Fuse,” IEEE 43rd IRPS, 2005, pp. 347-351. |
Schrogmeier, P. et al., “Time Discrete Voltage Sensing and Iterative Programming Control for a 4F2 Multilevel CBRAM,” VLSI Cir. Symp., Jun. 2007, pp. 186-187. |
Sheu, Shyh-Shyuan et al., “A 5ns Fast Write Multi-Level Non-Volatile 1K-bits RRAM Memory with Advance Write Scheme,” VLSI Cir. Symp., Jun. 2009, pp. 82-83. |
Sheu, Shyh-Shyuan et al., “Fast-Write Resistive RAM (RRAM) for Embedded Applications,” IEEE Design & Test of Computers, Jan./Feb. 2011, pp. 64-71. |
Shi, Min et al., “Zero-Mask Contact Fuse for One-Time-Programmable Memory in Standard CMOS Processes,” IEEE Dev. Lett. vol. 32, No. 7, Jul. 2011, pp. 955-957. |
Song, Y. J. et al., “Highly Reliable 256Mb PRAM with Advanced Ring Contact Technology and Novel Encapsulating Technology,” IEEE VLSI Tech Symp., Jun. 2006, pp. 153-154. |
Suto, Hiroyuki et al., “Programming Conditions for Silicided Poly-Si or Copper Electrically Programmable Fuses,” IEEE IIRW Final Report, 2007, pp. 84-89. |
Suto, Hiroyuki et al., “Study of Electrically Programmable Fuses Through Series of I-V Measurements,” IEEE IIRW Final Report, 2006, pp. 83-86. |
Suto, Hiroyuki et al., “Systematic Study of the Dopant-Dependent Properties of Electrically Programmable Fuses With Silicide Poly-Si Links Through a Series of I-V Measurements,” IEEE Trans. on Dev. Mat. Rd. vol. 7, No. 2, Jun. 2007, pp. 285-297. |
Takaoka, H. et al., A Novel Via-fuse Technology Featuring Highly Stable Blow Operation with Large On-off Ratio for 32nm Node and Beyond, IEDM, 2007, pp. 43-46. |
Tehrani, S. et al., “Magnetoresistive Random Access Memory Using Magnetic Tunnel Junction,” Proc. of IEEE, vol. 91, No. 5, May 2003, pp. 703-714. |
Tehrani, S., “Status and Outlook of MRAM Memory Technology,” IEEE IEDM Dig. of Tech Paper., Dec. 2006, pp. 1-4. |
Teichmann, J. et al., “One Time Programming (OTP) with Zener Diodes in CMOS Processes,” 33rd Conf. on European Solid-State Device Research (ESSDERC), 2003, pp. 433-436. |
Tian, C. et al., “Reliability Investigation of NiPtSi Electrical Fuse with Different Programming Mechanisms,” IEEE IIRW Final Report, 2007, pp. 90-93. |
Tian, C. et al., “Reliability Qualification of CoSi2 Electrical Fuse for 90nm Technology,” IEEE 44th IRPS, 2006, pp. 392-397. |
Tian, Chunyan et al., “Reliability Investigation of NiPtSi Electrical Fuse with Different Programming Mechanisms,” IEEE Trans. on Dev. Mat. Rd. vol. 8, No. 3, Sep. 2008, pp. 536-542. |
Tonti, W. R. et al., “Product Specific Sub-Micron E-Fuse Reliability and Design Qualification,” IEEE IIRW Final Report, 2003, pp. 36-40. |
Tonti, W. R., “Reliability and Design Qualification of a Sub-Micro Tungsten Silicide E-Fuse,” IEEE IRPS Proceedings, 2004, pp. 152-156. |
Tonti, W. R., “Reliability, Design Qualification, and Prognostic Opportunity of in Die E-Fuse,” IEEE Conference on Prognostics and Health Management (PHM), 2011, pp. 1-7. |
Ueda, T. et al., “A Novel Cu Electrical Fuse Structure and Blowing Scheme utilizing Crack-assisted Mode for 90-45nm-node and beyond,” IEEE VLSI Tech. Sym., Jun. 2006, 2 pages. |
Ulman, G. et al., “A Commercial Field-Programmable Dense eFUSE Array Memory with 00.999% Sense Yield for 45nm SOI CMOS”, ISSCC 2008/ Session 22 / Variation Compensation and Measurement/ 22.4, 2008 IEEE International Solid-State Circuits Conference, pp. 406-407. |
Vimercati, Daniele et al., “A 45nm 1Gbit 1.8V PCM for Wireless and Embedded Applications,” IEEE ISSCC Feb. 2010, 26 pages. |
Vinson, J. E., “NiCr Fuse Reliability—A New Approach,” Southcon/94, Conference Record, 1994, pp. 250-255. |
Walko, J., “Ovshinsky's Memories,” IEE Review, Issue 11, Nov. 2005, pp. 42-45. |
Wang, J. P. et al., “The Understanding of Resistive Switching Mechansim in HfO2-Based Resistive Random Access Memory,” IEDM, 2011, pp. 12.1.1-12.1.4. |
Wikipedia, “Programmable read-only memory”, http://en.wikipedia.org/wiki/Programmable—read-only—memory, downloaded Jan. 31, 2010, 4 pages. |
Worledge, D.C., “Single-Domain Model for Toggle MRAM,” IBM J. Res. & Dev. vol. 50, No. 1, Jan. 2006, pp. 69-79. |
Wu, Kuei-Sheng et al., “The Improvement of Electrical Programmable Fuse with Salicide-Block Dielectrical Film in 40nm CMOS Technology,” Interconnect Technology Conference (IITC), 2010 Int. pp. 1-3. |
Wu, Kuei-Sheng et al., “Investigation of Electrical Programmable Metal Fuse in 28nm and beyond CMOS Technology,” IEEE International Interconnect Technology Conference and 2011 Materials for Advanced Metallization (IITC/MAM), 2011, pp. 1-3. |
Yin, M. et al., “Enhancement of Endurance for CuxO based RRAM Cell,” 9th Int. Conf. on Solid-State and Integrated-Circuit Technology (ICSICT) 2008, pp. 917-920. |
Zhu, Jian-Gang, “Magnetoresistive Random Access Memory: The Path to Competitiveness and Scalability,” Proc. of IEEE, vol. 96, No. 11, Nov. 2008, pp. 1786-1798. |
Zhuang, W. W. et al., “Novell Colossal Magnetonresistive Thin Film Nonvolatile Resistance Random Access Memory (RRAM),” IEEE IEDM 2002, pp. 193-196. |
Notice of Allowance for U.S. Appl. No. 13/026,664 mailed Sep. 18, 2012. |
Office Action for U.S. Appl. No. 13/471,704 mailed Jul. 31, 2012. |
Notice of Allowance for U.S. Appl. No. 13/471,704 mailed Oct. 18, 2012. |
Notice of Allowance for U.S. Appl. No. 13/026,678 mailed Sep. 19, 2012. |
Office Action for U.S. Appl. No. 13/026,783 mailed Sep. 27, 2012. |
Office Action for U.S. Appl. No. 13/026,717 mailed Oct. 25, 2012. |
Office Action for U.S. Appl. No. 13/026,650 mailed Nov. 9, 2012. |
Office Action for U.S. Appl. No. 13/026,692 mailed Nov. 9, 2012. |
Office Action for U.S. Appl. No. 13/026,752 mailed Nov. 9, 2012. |
Office Action for U.S. Appl. No. 13/026,656 mailed Nov. 13, 2012. |
Office Action for U.S. Appl. No. 13/026,704 mailed Nov. 23, 2012. |
Office Action for U.S. Appl. No. 13/397,673, mailed Dec. 18, 2012. |
Office Action for U.S. Appl. No. 13/026,840, mailed Dec. 31, 2012. |
Office Action for U.S. Appl. No. 13/026,852, mailed Jan. 14, 2013. |
Office Action for U.S. Appl. No. 13/026,783, mailed Sep. 27, 2012. |
Restriction Requirement for U.S. Appl. No. 13/026,835, mailed Dec. 12, 2012. |
Notice of Allowance for U.S. Appl. No. 13/026,717, mailed Feb. 12, 2013. |
Office Action for U.S. Appl. No. 13/471,704, mailed Jan. 25, 2013. |
U.S. Appl. No. 13/761,048, filed Feb. 6, 2013. |
U.S. Appl. No. 13/761,057, filed Feb. 6, 2013. |
U.S. Appl. No. 13/761,097, filed Feb. 6, 2013. |
U.S. Appl. No. 13/761,045, filed Feb. 6, 2013. |
Office Action for U.S. Appl. No. 13/026,678, mailed Feb. 20, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,783, mailed Mar. 4, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,692, mailed Mar. 15, 2013. |
Office Action for U.S. Appl. No. 13/026,704, mailed Nov. 23, 2012. |
Notice of Allowance for U.S. Appl. No. 13/026,835, mailed Mar. 20, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,664, mailed Apr. 22, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,656, mailed Apr. 22, 2013. |
Jagasivamani et al., “Development of a Low-Power SRAM Compiler”, IEEE Press, 2001, pp. 498-501. |
Liu et al., “A Flexible Embedded SRAM Compiler”, IEEE Press, 2002, 3 pgs. |
Sundrararajan, “OSUSPRAM: Design of a Single Port SRAM Compiler in NCSU FREEPDK45 Process”, Mater of Science in Electrical Engineering, Oklahoma State University, Jul. 2010, 117 pgs. |
Notice of Allowance for U.S. Appl. No. 13/026,835, Mailed Apr. 18, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,704, mailed Apr. 30, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,852, mailed May 10, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,717, mailed May 15, 2013. |
Notice of Allowance for U.S. Appl. No. 13/471,704, mailed May 22, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,678, mailed May 28, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,650, mailed May 30, 2013. |
Restriction Requirement for U.S. Appl. No. 13/314,444, mailed Jun. 7, 2013. |
Restriction Requirement for U.S. Appl. No. 13/214,198, mailed Jun. 13, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,840, mailed Jun. 13, 2013. |
Restriction Requirement for U.S. Appl. No. 13/026,771, mailed Jun. 13, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,752, mailed Jul. 1, 2013. |
Restriction Requirement for U.S. Appl. No. 13/678,543, mailed Jul. 8, 2013. |
Office Action for U.S. Appl. No. 13/026,725, mailed Jul. 19, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,664, mailed Jul. 22, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,692, mailed Jul. 23, 2013. |
Notice of Allowance for U.S. Appl. No. 13/397,673, mailed Jul. 30, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,704, mailed Aug. 2, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,783, mailed Aug. 5, 2013. |
Office Action for U.S. Appl. No. 13/214,198, mailed Aug. 6, 2013. |
Office action for Chinese Patent Application No. 201110279954.7, mailed Jul. 1, 2013. |
Shen et al., “High-K Metal Gate Contact RRAM (CRRAM) in Pure 28 nm CMOS Logic Process”, Electron Devices Meeting (IEDM), 2012 IEEE International, Dec. 2012, 4 pgs. |
Tseng et al., “A New High-Density and Ultrasmall-Cell Size Contact RRAM (CR-RAM) with Fully CMOS-Logic-Compatible Technology and Circuits”, IEEE Transactions on Electron Devices, vol. 58, Issue 1, Jan. 2011, 6 pgs. |
Office Action for U.S. Appl. No. 13/026,783, mailed Sep. 9, 2013. |
Office Action for U.S. Appl. No. 13/314,444, mailed Sep. 9, 2013. |
Office Action for U.S. Appl. No. 13/026,771, mailed Sep. 9, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,852, mailed Sep. 18, 2013. |
Office Action (Ex Parte) for U.S. Appl. No. 13/678,543, mailed Sep. 20, 2013. |
Office Action for U.S. Appl. No. 13/835,308, mailed Sep. 27, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,717, mailed Oct. 1, 2013. |
Office Action for U.S. Appl. No. 13/954,831, mailed Oct. 1, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,656, mailed Oct. 4, 2013. |
Office Action for U.S. Appl. No. 13/214,183, mailed Oct. 25, 2013. |
Chua, “Many Times Programmable z8 Microcontroller”, e-Gizmo.cim, Nov. 21, 2006, pp. 1-5. |
Forum, Intel Multi-byte Nops, asmcommunity.net, Nov. 21, 2006, pp. 1-5. |
CMOS Z8 OTP Microcontrollers Product Specification, Zilog Inc., May 2008, Revision 1, pp. 1-84. |
OTP Programming Adapter Product User Guide, Zilog Inc., 2006, pp. 1-3. |
Notice of Allowance for U.S. Appl. No. 13/026,852, mailed Nov. 15, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,835, mailed Nov. 22, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,725, mailed Dec. 10, 2013. |
Office Action for U.S. Appl. No. 13/026,783, mailed Dec. 23, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,771, mailed Jan. 15, 2014. |
Office Action for Chinese Patent Application No. 201110244362.1, mailed Sep. 29, 2013. |
Office Action for Chinese Patent Application No. 201110235464.7, mailed Oct. 8, 2013. |
Office Action for Chinese Patent Application No. 201110244400.3, mailed Nov. 5, 2013. |
Office Action for Chinese Patent Application No. 201110244342.4, mailed Oct. 31, 2013. |
Restriction Requirement for U.S. Appl. No. 13/678,541, mailed Feb. 28, 2014. |
Notice of Allowance for U.S. Appl. No. 13/026,840, mailed Mar. 6, 2014. |
Notice of Allowance for U.S. Appl. No. 13/026,840, mailed Mar. 10, 2014. |
Notice of Allowance of U.S. Appl. No. 13/678,543, mailed Dec. 13, 2013. |
Notice of Allowance for U.S. Appl. No. 13/835,308, mailed Mar. 14, 2014. |
Notice of Allowance for U.S. Appl. No. 13/026,835, mailed Mar. 14, 2014. |
Notice of Allowance for U.S. Appl. No. 13/026,725, mailed Mar. 31, 2014. |
Notice of Allowance for U.S. Appl. No. 13/026,852, mailed Mar. 20, 2014. |
Notice of Allowance for U.S. Appl. No. 13/026,771, mailed Mar. 18, 2014. |
Final Office Action for U.S. Appl. No. 13/214,183, mailed Apr. 17, 2014. |
“Embedded Systems/Mixed C and Assembly Programming”, Wikibooks, Aug. 6, 2009, pp. 1-7. |
Notice of Allowance for U.S. Appl. No. 13/761,097, mailed Jul. 15, 2014. |
Office Action for U.S. Appl. No. 13/571,797, mailed Apr. 24, 2014. |
Notice of Allowance for U.S. Appl. No. 13/590,044, mailed Apr. 29, 2014. |
Notice of Allowance for U.S. Appl. No. 13/954,831, mailed May 27, 2014. |
Notice of Allowance of U.S. Appl. No. 13/833,044, mailed May 29, 2014. |
Notice of Allowance for U.S. Appl. No. 13/761,048, mailed Jun. 10, 2014. |
Office Action for Taiwanese Patent Application No. 100129642, mailed May 19, 2014 (with translation). |
Office Action for U.S. Appl. No. 13/072,783, mailed Nov. 7, 2013. |
Notice of Allowance for U.S. Appl. No. 13/026,840, mailed Jun. 24, 2014. |
Notice of Allowance for U.S. Appl. No. 13/214,198, mailed Jun. 23, 2014. |
Notice of Allowance for U.S. Appl. No. 13/590,044, mailed Jun. 23, 2014. |
Ker et al., “MOS-bounded diodes for on-chip ESD protection in a 0.15-μm shallow-trench-isolation salicided CMOS Process” International Symposium on VLSI Technology, Systems and Applications, 2003, 5 pgs. |
Notice of Allowance for U.S. Appl. No. 13/840,965, mailed Jun. 25, 2014. |
Office Action for U.S. Appl. No. 13/970,562, mailed Jun. 27, 2014. |
Office Action for U.S. Appl. No. 13/835,308, mailed Jun. 27, 2014. |
Notice of Allowance for U.S. Appl. No. 13/288,843, mailed Jul. 8, 2014. |
Restriction Requirement for U.S. Appl. No. 13/678,539, mailed Jul. 1, 2014. |
Notice of Allowance for U.S. Appl. No. 14/231,413, mailed Jul. 18, 2014. |
Notice of Allowance for U.S. Appl. No. 13/590,044, mailed Jul. 23, 2014. |
Restriction Requirement for U.S. Appl. No. 13/833,067, mailed Jul. 11, 2014. |
Notice of Allowance for U.S. Appl. No. 13/954,831, mailed Aug. 4, 2014. |
Restriction Requirement for U.S. Appl. No. 13/678,544, mailed Aug. 1, 2014. |
Notice of Allowance for U.S. Appl. No. 13/761,097, mailed Jul. 25, 2014. |
Ex parte Quayle for U.S. Appl. No. 13/761,057, mailed Aug. 8, 2014. |
Final Office Action for U.S. Appl. No. 13/314,444, mailed May 14, 2014. |
Corrected Notice of Allowability for U.S. Appl. No. 13/288,843, mailed Aug. 19, 2014. |
Office Action for U.S. Appl. No. 13/590,049, mailed Aug. 29, 2014. |
Ex Parte Quayle for U.S. Appl. No. 13/590,047, mailed Aug. 29, 2014. |
Ex Parte Quayle for U.S. Appl. No. 13/590,050, mailed Sep. 3, 2014. |
Office Action for U.S. Appl. No. 13/678,544, mailed Sep. 12, 2014. |
Office Action for U.S. Appl. No. 13/678,539, mailed Sep. 10, 2014. |
Notice of Allowance for U.S. Appl. No. 13/288,843, mailed Sep. 18, 2014. |
Notice of Allowance for U.S. Appl. No. 13/761,057, mailed Sep. 26, 2014. |
Notice of Allowance for U.S. Appl. No. 13/833,044, mailed Sep. 24, 2014. |
Notice of Allowance for U.S. Appl. No. 13/314,444, mailed Sep. 24, 2014. |
Office Action for U.S. Appl. No. 13/761,045, mailed Sep. 30, 2014. |
Notice of Allowance for U.S. Appl. No. 13/835,308, mailed Oct. 10, 2014. |
Notice of Allowance for U.S. Appl. No. 13/571,797, mailed Oct. 14, 2014. |
Office Action for U.S. Appl. No. 13/833,067, mailed Oct. 20, 2014. |
Notice ofAllowance for U.S. Appl. No. 14/085,228, mailed Oct. 23, 2014. |
Office Action for U.S. Appl. No. 13/842,824, mailed Oct. 29, 2014. |
Herner et al., “Vertical p-i-n. Polysilicon Diode with Antifuse for stackable Field-Programmable ROM”, IEEE Electron Device Letters, vol. 25, No. 5, pp. 271-273, May 2004. |
Notice of Allowance for U.S. Appl. No. 13/590,049, mailed Nov. 25, 2014. |
Notice of Allowance for U.S. Appl. No. 13/590,047, mailed Nov. 24, 2014. |
Office Action for U.S. Appl. No. 13/590,044, mailed Dec. 9, 2014. |
Notice of Allowance for U.S. Appl. No. 13/590,050, mailed Dec. 18, 2014. |
Office Action for U.S. Appl. No. 14/042,392, mailed Dec. 31, 2014. |
Office Action for U.S. Appl. No. 14/071,957, mailed Dec. 29, 2014. |
International Search Report and Written Opinion for International Patent Application No. PCT/US/2014/056676, mailed Dec. 19, 2014. |
Office Action for U.S. Appl. No. 14/493,083, mailed Jan. 8, 2015. |
Office Action for Chinese Patent Application No. 2011102443903, mailed Dec. 16, 2014. |
Notice of Allowance for U.S. Appl. No. 13/970,562, mailed Jan. 23, 2015. |
Notice of Allowance for U.S. Appl. No. 14/493,069, mailed Feb. 17, 2015. |
Notice of Allowance for U.S. Appl. No. 14/085,228, mailed Feb. 18, 2015. |
Notice of Allowance for U.S. Appl. No. 13/761,045, mailed Feb. 18, 2015. |
Notice of Allowance for U.S. Appl. No. 14/231,404, mailed Jan. 22, 2015. |
Notice of Allowance for U.S. Appl. No. 14/021,990, mailed Dec. 9, 2014. |
Final Office Action for U.S. Appl. No. 13/678,544, mailed Feb. 15, 2015. |
Office Action for U.S. Appl. No. 14/101,125, mailed Mar. 6, 2015. |
Hassan, “Argument for anti-fuse non-volatile memory in 28nm high-k metal gate”, Feb. 15, 2011, wwwl.eeetimes.com publication. |
Office Action for U.S. Appl. No. 13/026,783, mailed on Mar. 5, 2015. |
Final Office Action for U.S. Appl. No. 13/678,539, mailed Apr. 1, 2015. |
Office Action for U.S. Appl. No. 14/636,155, mailed on Apr. 10, 2015. |
Notice of Allowance for U.S. Appl. No. 14/021,990, mailed Apr. 14, 2015. |
Notice of Allowance for U.S. Appl. No. 13,842,824, mailed Apr. 14, 2015. |
Notice of Allowance for U.S. Appl. No. 14/071,957, mailed Apr. 14, 2014. |
Notice of Allowance for U.S. Appl. No. 14/231,404, mailed Apr. 17, 2015. |
Notice of Allowance for U.S. Appl. No. 13/590,444, mailed May 12, 2015. |
Notice of Allowance for U.S. Appl. No. 13/072,783, mailed May 13, 2015. |
Notice of Allowance for U.S. Appl. No. 13/833,067, mailed Jun. 5, 2015. |
Office Action for U.S. Appl. No. 13/314,444, mailed Dec. 10, 2014. |
Final Office Action for U.S. Appl. No. 13/026,783, mailed Jul. 30, 2015. |
Notice of Allowance for U.S. Appl. No. 14/553,874, Aug. 10, 2015. |
Office Action for U.S. Appl. No. 14/500,743, mailed Aug. 17, 2015. |
Notice of Allowance for U.S. Appl. No. 14/042,392, mailed Aug. 21, 2015. |
Office Action for U.S. Appl. No. 14/485,696, mailed Aug. 20, 2015. |
Notice of Allowance for U.S. Appl. No. 14/493,083, mailed Aug. 27, 2015. |
Office Action for U.S. Appl. No. 13/678,539, mailed Sep. 16, 2015. |
Office Action for U.S. Appl. No. 14/507,691, mailed Oct. 30, 2015. |
Final Office Action for U.S. Appl. No. 14/101,125, mailed Nov. 17, 2015. |
Notice of Allowance for U.S. Appl. No. 13/072,783, mailed Oct. 27, 2015. |
Office Action for U.S. Appl. No. 14/792,479, mailed Aug. 28, 2015. |
Notice of Allowance for U.S. Appl. No. 14/500,743, mailed Dec. 2, 2015. |
Notice of Allowance for U.S. Appl. No. 14/636,155, mailed Dec. 4, 2015. |
Notice of Allowance for U.S. Appl. No. 14/071,957, mailed Dec. 4, 2015. |
Notice of Allowance for U.S. Appl. No. 13/678,544, mailed Feb. 12, 2016. |
Office Action for U.S. Appl. No. 14/749,392, mailed Feb. 25, 2016. |
Office Action for U.S. Appl. No. 14/940,012, mailed Feb. 26, 2016. |
Notice of Allowance for U.S. Appl. No. 14/485,698, mailed Mar. 1, 2016. |
Number | Date | Country | |
---|---|---|---|
20160019983 A1 | Jan 2016 | US |
Number | Date | Country | |
---|---|---|---|
62012947 | Jun 2014 | US |