Use of a plasma source to form a layer during the formation of a semiconductor device

Information

  • Patent Grant
  • 7429535
  • Patent Number
    7,429,535
  • Date Filed
    Tuesday, January 9, 2007
    17 years ago
  • Date Issued
    Tuesday, September 30, 2008
    15 years ago
Abstract
A method used to form a semiconductor device having a capacitor comprises placing a semiconductor wafer assembly into a chamber of a plasma source, the wafer assembly comprising a layer of insulation having at least one contact therein and a surface, and further comprising a conductive layer over the surface and in the contact. Next, in the chamber, a layer of etch resistant material is formed within the contact over the conductive layer, the etch resistant material not forming over the surface.
Description
FIELD OF THE INVENTION

The invention relates to the field of semiconductor manufacture, and more specifically to a method for forming and etching layers during the formation of a semiconductor device.


BACKGROUND OF THE INVENTION

A typical structure formed during the manufacture of a semiconductor memory device is a container cell which requires several steps for its manufacture. A sample process for forming the container cell includes implanting a diffusion area in a semiconductor wafer substrate, and forming an insulator, such as borophosphosilicate glass (BPSG) or tetraethylorthosilicate (TEOS), over the wafer. The insulator is etched to open a contact, usually round or oval in shape, to expose the diffusion region. A compliant conductive layer such as doped polycrystalline silicon is formed over the wafer surface and within the contact which contacts the diffusion region. The conductive layer is masked to protect the portion within the contact and the remainder is etched. Various steps as known in the art are subsequently performed to produce a container cell.


The process described above requires the wafer to be transported between several chambers. The diffusion region is formed in an implanter, and the insulator, usually a blanket layer, is formed either in a furnace (to form TEOS) or in a chemical vapor deposition tool such as a Watkins-Johnson to form BPSG. Plasma-enhanced chemical vapor deposition (PECVD) and various other means can be used to form the insulator. The wafer is then moved to a stepper for patterning of the insulator, then to a dry etch chamber where the insulator is etched to form the contact. The wafer is moved again to a furnace, a low-pressure chemical vapor deposition (LPCVD) chamber, or a PECVD chamber to form a blanket conductive layer over the wafer surface and within the contact. Next, the conductive layer on the surface of the wafer is removed, for example using chemical mechanical planarization (CMP) equipment. The conductive layer can also be removed by forming a resist coat over the wafer, which forms a thicker layer within the contact than on the wafer surface, and dry etching the surface to remove the resist and poly from the surface while leaving a portion of the poly within the contact. Finally, the wafer is moved to an acid bath or a plasma etcher where the resist is stripped from the contact.


Transporting the wafer is not desirable as it increases processing time, costs, and possible damage and contamination to the wafer. A process which requires less wafer transportation is therefore desirable.





BRIEF DESCRIPTION OF THE DRAWINGS


FIGS. 1-4 are cross-sections of a first embodiment of the invention;



FIGS. 5-6 are cross-sections of a second embodiment of the invention; and



FIGS. 7-8 are cross-sections of a third embodiment of the invention.





It should be emphasized that the drawings herein are not to scale but are merely schematic representations and are not intended to portray the specific parameters or the structural details of the invention, which can be determined by one of skill in the art by examination of the information herein.


DETAILED DESCRIPTION OF THE INVENTION


FIGS. 1-4 are cross-sections of a first embodiment of the invention used to form a storage node of a container cell. It should be noted that the invention can be used to form a number of other structures, and the use of the invention to form a storage node of a container cell is for ease of explanation.


A starting structure is shown in FIG. 1. The starting structure comprises a wafer 10 having a surface 12 and a contact 14 formed in the wafer. The wafer shown comprises a substrate 16, for example of silicon or gallium arsenide, and insulator 18, such as an oxide or a nitride, with the contact formed in the insulator. The specific use of the invention shown further comprises a conductive layer 20 such as a conformal layer of polycrystalline silicon formed within the contact 14 and over the wafer surface 12 which contacts the substrate 16. The invention is described for a starting structure using a 1000 Å to 20,000 Å thick BPSG layer as the insulator, a contact 0.2 to 1.0 microns in diameter, and a polycrystalline silicon conductor layer 200-2,000 Å thick. Etcher settings listed below may require modification for different materials and/or thicknesses, which can easily be determined by one of ordinary skill in the art from the description herein without undue experimentation.


The structure of FIG. 1 is placed into a chamber of a plasma source such as a conventional plasma etcher or a high density plasma etcher. High density plasma etchers operate at pressures below 50 millitorr (typically below 10 millitorr) and have plasma densities greater than 1010 to 1011 cm−3. In addition, most etch applications of high density plasma etchers use two sources of electrical power, one to generate the plasma and one to bias the wafer. The plasma source can be an etcher such as an Applied Materials Model 5300 HDP, LAM TCP, or other such etcher. A layer of etch resistant material 22, such as a polymer, is formed within the contact 14 and over the surface 12 of the wafer 10 as shown in FIG. 2. The etch resistant material bridges across the contact and fills in the contact. In general, any feed gas which forms an etch resistant layer can be used. For example, fluorocarbons, hydrofluorocarbons, chlorofluorocarbons, halocarbons or hydrohalocarbons would function sufficiently. Examples include CHF3, CH2F2, C2F6, C2HF5, C3F8. The flow rate depends on which feed gas is used, and can be determined by one of skill in the art from the description herein without undue experimentation. Generally, flow rates would be in the range of 25-200 standard cubic centimeters (sccm) although flow rates outside this range may function adequately. To bridge over a contact 0.5 microns in diameter and form a polymer layer about 2000 angstroms (Å) thick over the wafer surface, the following settings can be used:


Source (top) Power: From 1000 to 3500 Watts


Bias (bottom) Power: From 0 to 400 Watts


Pressure: From 2 to 5 Millitorr


Duration: From 5 to 40 Seconds


Flow Rate: From 10 to 50 SCCM


These settings usually cause the etch resistant layer formed on the surface to be thinner than the material formed within the contact. It should be noted that there is an interaction between the listed parameters, and other settings in addition to the ranges listed above may also function adequately. The settings herein can be altered by one of ordinary skill in the art from the description herein to customize the etch resistant layer formation for various sizes and shapes of contact, and for various thicknesses within the contact and over the wafer surface. Depending on the application, any thickness of etch-resistant layer may be useful, but an etch-resistant layer 50 Å or greater is preferred for most applications.


Next, within the chamber, the etch resistant layer is removed from the wafer surface as shown in FIG. 3 using parameters known in the art. Because the etch resistant layer bridges across the contact, and essentially forms a thicker layer within the contact, the layer can be removed from the surface while at least a portion 30 of the layer remains within the contact as shown in FIG. 3. In addition, the portion of the conductive layer 20 on the wafer surface 12 can also be removed using the same settings used to remove the etch resistant layer from the wafer surface, or different settings can be used depending on the material of layer 20. The remaining portion of the etch resistant layer 30 functions as a mask to protect the conductive layer 32 within the contact.


The etch resistant layer 30 can be removed, for example within the chamber, to expose the conductive layer 32 to result in the structure of FIG. 4. The etch resistant layer 30 can be removed using etcher settings similar to those used for stripping photoresist. Oxygen-fluorocarbon mixtures are best suited for this since layer 30 may comprise some silicon. Using subsequent processing steps element 32 can function as a capacitor storage node, although there are many other uses for the inventive method. Removal of insulator 18 can be accomplished with any means, such as within the etch chamber or outside the chamber, for example in a hydrofluoric acid (HF) sink.


A second embodiment of the invention is shown in FIGS. 5 and 6. The starting structure of FIG. 1 is placed into a chamber of a plasma source. Using a combination of etcher settings, an etch resistant layer 50 can be formed within the contact 14, or other narrow openings, without the etch resistant layer forming on the wafer surface 12 to result in the structure of FIG. 5. The thickness of the etch resistant layer which forms within the contact is dependent on the duration of the step. As the layer thickens its rate of formation slows and may eventually stop. Forming the etch resistant layer in the contact and not on the wafer surface results in part from operating at a higher bias voltage and under conditions of lower deposition rate than in the first embodiment. For example, in the Applied Materials HDP Etch tool, these conditions would include lowering the source power and decreasing the total flow rate of process gasses. The specific values of the operating parameters can be adjusted by one of skill in the art from the information herein.


The etch resistant layer can then be used as a mask and the conductive layer 20 can be etched with a separate etch step, for example in the same etch chamber, according to means known in the art. Next, the etch resistant layer 50 can be removed as described with the first embodiment above to result in the structure of FIG. 4.


A third embodiment of the invention is shown in FIGS. 7-8. The starting structure of FIG. 7 is placed in an etch chamber. By decreasing the deposition rate and increasing the bias of the settings shown for the first embodiment, an etch resistant layer 80 can be formed within the contact 14 while, simultaneously, the conductive layer 20 is etched from the surface of the wafer. As the polymer builds up over the conductive layer 20 within the contact 14, it functions as a mask and protects the horizontal surface of the conductive layer in the contact. The conductive layer is simultaneously removed from the surface of the wafer. Some attack on the top of 20 may occur with this embodiment, but such an attack does not affect the performance of the container cell.


The inventive method as described in the embodiments above has the advantage of forming a mask in an etch chamber. Subsequent etches can also be performed within the chamber. Performing a number of different steps within the etch chamber decreases the transportation requirements of the wafer which reduces production time and decreases damage resulting from handling of the wafers.


The three embodiments described have various deposition rates of the polymer on the wafer surface compared with the deposition rate of the polymer within the recess. With the first embodiment, the polymer forms faster within the recess than on the wafer surface. In the second embodiment, the polymer does not form on the wafer surface but forms within the recess. In the third embodiment, an etch occurs on the wafer surface while the polymer forms within the recess. The deposition rate of the polymer on the wafer surface can be decreased as compared to the polymer formation within the recess by various means, such as by decreasing the source power, by decreasing the flow rate, by increasing the bias power, or by using a combination of these parameters. Other methods of controlling the deposition rate may also be possible and apparent to one of skill in the art from reviewing the information herein. These other methods fall within the scope of the invention.


A first embodiment of the invention is a method used during the formation of a semiconductor device comprising placing a semiconductor wafer having a surface and a recess formed in the wafer into a chamber of a plasma source. Within the chamber, a layer of etch resistant material is formed within the recess and over the surface of the wafer. Finally, also in the chamber, the etch resistant layer which forms over the surface of the wafer is removed and at least a portion of the etch resistant layer is left in the recess.


A second embodiment of the invention comprises a method used during the formation of a semiconductor device comprising placing a semiconductor wafer having a surface and a recess formed in the wafer into a chamber of a plasma source. Within the chamber, a layer of etch resistant material is formed within the recess, the etch resistant material not forming over the surface.


Objects and advantages become apparent to those skilled in the art from the detailed description read in conjunction with the appended claims and the drawings attached hereto.


While this invention has been described with reference to illustrative embodiments, this description is not meant to be construed in a limiting sense. Various modifications of the illustrative embodiments, as well as additional embodiments of the invention, will be apparent to persons skilled in the art upon reference to this description. For example, the etch resistant layer can be formed within any recess such as a trench, via, contact, depression in an exposed surface with modifications to the etcher settings. Depending on the size of the recess, the etcher settings may require modification, which can be determined without undue experimentation from the disclosure herein. Also, the recess can be formed in an oxide layer as shown herein, or can be formed within the wafer substrate, between two protruding features, or in other layers. The term “wafer assembly” is used to describe a raw substrate, a substrate with doped regions therein, or a substrate with a layer or layers such as oxide or nitride thereon. The plasma-deposited material can comprise polymers including (but not limited to) carbon and either a halogen and/or hydrogen or various other materials. It is therefore contemplated that the appended claims will cover any such modifications or embodiments as fall within the true scope of the invention.

Claims
  • 1. A method for forming a semiconductor device, comprising: placing a semiconductor wafer assembly into a chamber of a plasma source, said wafer assembly comprising a surface including an insulator layer and at least one recess formed in said insulator;in said chamber, forming a layer of etch resistant material within said recess and over said surface; andin said chamber, removing said etch resistant layer which forms over said surface and leaving at least a portion of said etch resistant layer in said recess.
  • 2. The method of claim 1, further comprising in said chamber subsequent to said removing said etch resistant layer which forms over said surface, performing an etch using said etch resistant layer in said recess as a mask.
  • 3. The method of claim 2, further comprising forming a conductive layer over said surface between said step of placing said wafer assembly in said chamber and said step of forming said layer of etch resistant material, and wherein performing said etch removes said conductive layer and said etch resistant layer from over said surface and leaves at least a portion of said conductive layer and said etch resistant layer in said recess.
  • 4. The method of claim 2, further comprising removing said etch resistant material from said recess subsequent to said step of performing said etch.
  • 5. The method of claim 4, wherein removing said etch resistant material from said recess is performed in said chamber.
  • 6. The method of claim 1, wherein forming a layer of etch resistant material includes forming a polymer layer.
  • 7. The method of claim 1, wherein said wafer assembly comprises a layer of oxide, and wherein said recess is formed in said oxide layer.
  • 8. A method of forming a capacitor storage node, comprising: placing a semiconductor wafer assembly into a chamber of a plasma source, said wafer assembly comprising at least one contact therein, a surface, and a layer of capacitor storage node material;in said chamber, forming a layer of etch resistant material over at least a portion of said capacitor storage node material, said etch resistant material formed with a greater thickness in said contact than over said surface; andin said chamber, removing said layer of etch resistant material which forms over said surface and leaving a portion of said etch resistant layer in said contact.
  • 9. The method of claim 8, wherein said surface remains free of said layer of etch resistant material during said step of forming said etch resistant material; andetching said capacitor storage node material using said layer of etch resistant material as a mask.
  • 10. A method of forming a container capacitor during the formation of a semiconductor device comprising: placing a semiconductor wafer assembly into a chamber of a plasma source, said wafer assembly comprising at least one contact therein having a sidewall and a bottom, said wafer assembly further comprising a surface and a layer of capacitor electrode material over said surface, said contact bottom, and said contact sidewall;in said chamber, forming a polymer over at least a portion of said capacitor material, said polymer formed with a greater thickness in said contact than over said surface;etching said capacitor material using said polymer as a mask;wherein said etching step removes said storage node material from said surface and leaves at least a portion of said storage node material in said contact; andwherein said polymer forms over said storage node material and said surface during forming said polymer, and said step of etching removes said polymer from said surface.
RELATED APPLICATIONS

This application is a continuation of U.S. application Ser. No. 09/471,460, filed Dec. 22, 1999 now U.S. Pat. No. 7,294,578, which is a divisional of U.S. application Ser. No. 09/046,835, filed Oct. 24, 1997, now U.S. Pat. No. 6,117,764, which is a continuation of U.S. application Ser. No. 08/787,453, filed Jan. 22, 1997, now U.S. Pat. No. 5,950,092, which is a continuation of U.S. application Ser. No. 08/458,861, filed Jun. 2, 1995, now abandoned. These applications are incorporated by reference herein. This application contains subject matter related to U.S. Pat. No. 6,716,769, which is also a divisional of U.S. Pat. No. 6,117,764, mentioned above. This application also contains subject matter related to U.S. application Ser. No. 09/470,651, now abandoned, which is a continuation of U.S. Pat. No. 6,117,764, mentioned above.

US Referenced Citations (67)
Number Name Date Kind
3755720 Kern Aug 1973 A
4082604 Yanez Apr 1978 A
4256534 Levinstein et al. Mar 1981 A
4267013 Iida et al. May 1981 A
4371407 Kurosawa Feb 1983 A
4412885 Wang et al. Nov 1983 A
4417914 Lehrer Nov 1983 A
4599135 Tsunekawa et al. Jul 1986 A
4624864 Hartmann Nov 1986 A
4759958 Numata et al. Jul 1988 A
4784719 Schutz Nov 1988 A
4797373 Malhi et al. Jan 1989 A
4830691 Kida et al. May 1989 A
4838992 Abraham Jun 1989 A
4872947 Wang et al. Oct 1989 A
4918033 Bartha et al. Apr 1990 A
4919748 Bredbenner et al. Apr 1990 A
4962063 Maydan et al. Oct 1990 A
5048413 Deiters Sep 1991 A
5066607 Banerjee Nov 1991 A
5079178 Chouan et al. Jan 1992 A
5084413 Fujita et al. Jan 1992 A
5093279 Andreshak et al. Mar 1992 A
5096849 Beilstein, Jr. et al. Mar 1992 A
5110712 Kessler et al. May 1992 A
5150276 Gonzalez et al. Sep 1992 A
5162248 Dennison et al. Nov 1992 A
5164332 Kumar Nov 1992 A
5182230 Donelon et al. Jan 1993 A
5185282 Lee et al. Feb 1993 A
5204288 Marks et al. Apr 1993 A
5232509 Min et al. Aug 1993 A
5252988 Katayama et al. Oct 1993 A
5284787 Ahn Feb 1994 A
5286675 Chen et al. Feb 1994 A
5292677 Dennison Mar 1994 A
5312773 Nagashima May 1994 A
5316616 Nakamura et al. May 1994 A
5326431 Kadomura Jul 1994 A
5354715 Wang et al. Oct 1994 A
5364809 Kwon et al. Nov 1994 A
5364817 Lur Nov 1994 A
5382316 Hills et al. Jan 1995 A
5419822 Dakesian et al. May 1995 A
5422294 Noble, Jr. Jun 1995 A
5422310 Ito Jun 1995 A
5441594 Zenke Aug 1995 A
5443941 Bariya et al. Aug 1995 A
5472564 Nakamura et al. Dec 1995 A
5472904 Figura et al. Dec 1995 A
5476817 Numata Dec 1995 A
5486493 Jeng Jan 1996 A
5496773 Rhodes et al. Mar 1996 A
5562801 Nulty Oct 1996 A
5565384 Havemann Oct 1996 A
5783101 Ma et al. Jul 1998 A
5788870 Nguyen et al. Aug 1998 A
5804259 Robles Sep 1998 A
5821621 Jeng Oct 1998 A
5837596 Figura et al. Nov 1998 A
5904799 Donohoe May 1999 A
5950092 Figura et al. Sep 1999 A
6089183 Imai et al. Jul 2000 A
6117764 Figura et al. Sep 2000 A
6267122 Guldi et al. Jul 2001 B1
6278174 Havemann et al. Aug 2001 B1
7294578 Figura et al. Nov 2007 B1
Foreign Referenced Citations (6)
Number Date Country
0721205 Jul 1996 EP
59-103338 Jun 1984 JP
62-030330 Feb 1987 JP
62-032618 Feb 1987 JP
01-149418 Jun 1989 JP
06-275568 Sep 1994 JP
Related Publications (1)
Number Date Country
20070123048 A1 May 2007 US
Divisions (1)
Number Date Country
Parent 09046835 Oct 1997 US
Child 09471460 US
Continuations (3)
Number Date Country
Parent 09471460 Dec 1999 US
Child 11651158 US
Parent 08787453 Jan 1997 US
Child 09046835 US
Parent 08458861 Jun 1995 US
Child 08787453 US