Membership
Tour
Register
Log in
Testing or measuring features
Follow
Industry
CPC
G03F1/44
This industry / category may be too specific. Please go to a parent level for more data
Parent Industries
G
PHYSICS
G03
Photography
G03F
PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES
G03F1/00
Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles Mask blanks or pellicles therefor Containers specially adapted therefor Preparation thereof
Current Industry
G03F1/44
Testing or measuring features
Industries
Overview
Organizations
People
Information
Impact
Please log in for detailed analytics
Patents Grants
last 30 patents
Information
Patent Grant
Wafer carrier with reticle template for marking reticle fields on a...
Patent number
12,183,609
Issue date
Dec 31, 2024
Micron Technology, Inc.
Gabe Glass
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Methods for manufacturing phase masks and lens-less camera module
Patent number
12,108,134
Issue date
Oct 1, 2024
Seung Ah Lee
H04 - ELECTRIC COMMUNICATION TECHNIQUE
Information
Patent Grant
Targets for diffraction-based overlay error metrology
Patent number
12,105,414
Issue date
Oct 1, 2024
KLA Corporation
Itay Gdor
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Fabricating method of photomask, photomask structure thereof, and s...
Patent number
12,055,850
Issue date
Aug 6, 2024
Taiwan Semiconductor Manufacturing Company Ltd.
Cheng-Ming Lin
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Reduction or elimination of pattern placement error in metrology me...
Patent number
12,013,634
Issue date
Jun 18, 2024
KLA-Tencor Corporation
Yoel Feler
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
Enforcing mask synthesis consistency across random areas of integra...
Patent number
11,977,327
Issue date
May 7, 2024
Synopsys, Inc.
Thomas Christopher Cecil
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
Methods for modeling of a design in reticle enhancement technology
Patent number
11,972,187
Issue date
Apr 30, 2024
D2S, Inc.
P. Jeffrey Ungar
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
Mask fingerprint using mask sensitive circuit
Patent number
11,934,094
Issue date
Mar 19, 2024
International Business Machines Corporation
Effendi Leobandung
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
Photomask including fiducial mark and method of making a semiconduc...
Patent number
11,860,532
Issue date
Jan 2, 2024
Taiwan Semiconductor Manufacturing Company, Ltd
Hsin-Chang Lee
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Method for manufacturing reflective mask blank, reflective mask bla...
Patent number
11,852,964
Issue date
Dec 26, 2023
Hoya Corporation
Tsutomu Shoki
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Sub-resolution assist features
Patent number
11,829,066
Issue date
Nov 28, 2023
Taiwan Semiconductor Manufacturing Co., Ltd
Kenji Yamazoe
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
Image pickup apparatus and focus adjustment method using bending co...
Patent number
11,822,233
Issue date
Nov 21, 2023
Lasertec Corporation
Hiroki Miyai
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Method for generating patterning device pattern at patch boundary
Patent number
11,797,748
Issue date
Oct 24, 2023
ASML Netherlands B.V.
Quan Zhang
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
Image-based overlay targets incorporating features for pattern reco...
Patent number
11,748,869
Issue date
Sep 5, 2023
Intel Corporation
Martin Weiss
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
EUV in-situ linearity calibration for TDI image sensors using test...
Patent number
11,733,605
Issue date
Aug 22, 2023
KLA Corporation
Haifeng Huang
G01 - MEASURING TESTING
Information
Patent Grant
Method of accelerated hazing of mask assembly
Patent number
11,703,752
Issue date
Jul 18, 2023
Taiwan Semiconductor Manufacturing Company, Ltd
Wu-Hung Ko
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Fabricating method of photomask, photomask structure thereof, and s...
Patent number
11,662,660
Issue date
May 30, 2023
Taiwan Semiconductor Manufacturing Company Ltd.
Cheng-Ming Lin
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Aperture design and methods thereof
Patent number
11,656,391
Issue date
May 23, 2023
Taiwan Semiconductor Manufacturing Co., Ltd
Hung-Chih Hsieh
G02 - OPTICS
Information
Patent Grant
Obtaining a mask using a cost function gradient from a jacobian mat...
Patent number
11,644,747
Issue date
May 9, 2023
Synopsys, Inc.
Thomas Cecil
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
Fabricating method of photomask, photomask structure thereof, and s...
Patent number
11,624,978
Issue date
Apr 11, 2023
Taiwan Semiconductor Manufacturing Company Ltd.
Cheng-Ming Lin
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Methods for modeling of a design in reticle enhancement technology
Patent number
11,620,425
Issue date
Apr 4, 2023
D2S, Inc.
P. Jeffrey Ungar
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
Multi-channel device and method for measuring distortion and magnif...
Patent number
11,604,418
Issue date
Mar 14, 2023
Shanghai Institute of Optics And Fine Mechanics, Chinese Academy of Sciences
Yisha Cao
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Method for detecting flare degree of lens of exposure machine
Patent number
11,604,417
Issue date
Mar 14, 2023
Shanghai Huali Microelectronics Corporation
Haisheng Song
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Reduction or elimination of pattern placement error in metrology me...
Patent number
11,537,043
Issue date
Dec 27, 2022
KLA-Tencor Corporation
Yoel Feler
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
Method and apparatus to anneal EUV mask blank
Patent number
11,480,866
Issue date
Oct 25, 2022
Applied Materials, Inc.
Herng Yau Yoong
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Photomask including fiducial mark and method of making semiconducto...
Patent number
11,422,466
Issue date
Aug 23, 2022
Taiwan Semiconductor Manufacturing Company, Ltd
Hsin-Chang Lee
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Metrology apparatus and method for determining a characteristic rel...
Patent number
11,385,554
Issue date
Jul 12, 2022
ASML Netherlands B.V.
Miguel Garcia Granda
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Enforcing mask synthesis consistency across random areas of integra...
Patent number
11,360,382
Issue date
Jun 14, 2022
Synopsys, Inc.
Thomas Christopher Cecil
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Grant
Methods and patterning devices and apparatuses for measuring focus...
Patent number
11,314,174
Issue date
Apr 26, 2022
ASML Netherlands B.V.
Laurentius Cornelius De Winter
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Grant
Mask, mask assembly, exposure machine, method for testing shadowing...
Patent number
11,307,491
Issue date
Apr 19, 2022
BOE Technology Group Co., Ltd.
Jiabin Cui
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Patents Applications
last 30 patents
Information
Patent Application
PHOTOMASK SET, DESIGN METHOD THEREOF, AND MANUFACTURING METHOD OF P...
Publication number
20240411221
Publication date
Dec 12, 2024
United Microelectronics Corp.
Chun-Yi Chang
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
PHOTOMASK CREATING METHOD, DATA CREATING METHOD, AND ELECTRONIC DEV...
Publication number
20240329518
Publication date
Oct 3, 2024
Gigaphoton Inc.
Koichi FUJII
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
METHOD AND APPARATUS FOR CALIBRATING AN OPERATION ON A PHOTOMASK
Publication number
20240310721
Publication date
Sep 19, 2024
Cart Zeiss SMT GmbH
Michael Budach
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
RETICLE-LIKE SENSING ASSEMBLY
Publication number
20240302733
Publication date
Sep 12, 2024
MICROPROGRAM INFORMATION CO., LTD.
TENG YEN WU
G01 - MEASURING TESTING
Information
Patent Application
PHOTOMASK STRUCTURE AND PATTERNING METHOD
Publication number
20240304445
Publication date
Sep 12, 2024
WINBOND ELECTRONICS CORP.
Kao-Tun Chen
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
CLUSTERED IC DIES TO INCREASE IC DIES PER WAFER
Publication number
20240282647
Publication date
Aug 22, 2024
GLOBALFOUNDRIES U.S. Inc.
Laszlo Andras Fürst
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
ENFORCING MASK SYNTHESIS CONSISTENCY ACROSS RANDOM AREAS OF INTEGRA...
Publication number
20240248392
Publication date
Jul 25, 2024
Synopsys, Inc.
Thomas Christopher Cecil
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Application
METHOD OF MEASURING OVERLAY AND SEMICONDUCTOR DEVICE MANUFACTURED U...
Publication number
20240241451
Publication date
Jul 18, 2024
Samsung Electronics Co., Ltd.
Daehee LEE
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
ELECTRONIC DEVICE
Publication number
20240241455
Publication date
Jul 18, 2024
InnoLux Corporation
Kuang-Ming FAN
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
METHODS FOR MODELING OF A DESIGN IN RETICLE ENHANCEMENT TECHNOLOGY
Publication number
20240220695
Publication date
Jul 4, 2024
D2S, INC.
P. Jeffrey Ungar
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Application
PHOTOMASK INCLUDING MONITORING MARK
Publication number
20240192583
Publication date
Jun 13, 2024
SAMSUNG DISPLAY CO., LTD.
Yoon Yeol LEE
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
METHOD AND DEVICE FOR OPTIMIZING MASK PARAMETERS
Publication number
20240176228
Publication date
May 30, 2024
Institute of Microelectronics, Chinese Academy of Sciences
Jianfang HE
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
METHOD FOR DECOUPLING SOURCES OF VARIATION RELATED TO SEMICONDUCTOR...
Publication number
20240142959
Publication date
May 2, 2024
ASML NETHERLANDS B.V.
Jill Elizabeth FREEMAN
G05 - CONTROLLING REGULATING
Information
Patent Application
IMPROVED TARGETS FOR DIFFRACTION-BASED OVERLAY ERROR METROLOGY
Publication number
20240118606
Publication date
Apr 11, 2024
KLA Corporation
Itay GDOR
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
METHOD FOR GENERATING PATTERNING DEVICE PATTERN AT PATCH BOUNDARY
Publication number
20240095437
Publication date
Mar 21, 2024
ASML NETHERLANDS B.V.
Quan ZHANG
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Application
PHOTOMASK INCLUDING FIDUCIAL MARK AND METHOD OF MAKING A PHOTOMASK
Publication number
20240094625
Publication date
Mar 21, 2024
Taiwan Semiconductor Manufacturing Company, Ltd.
Hsin-Chang LEE
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
PHOTOMASK INCLUDING LINE PATTERN MONITORING MARK AND METHOD OF MANU...
Publication number
20240085778
Publication date
Mar 14, 2024
Samsung Electronics Co., Ltd.
Byungje Jung
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
MODELING OF A DESIGN IN RETICLE ENHANCEMENT TECHNOLOGY
Publication number
20240086607
Publication date
Mar 14, 2024
D2S, INC.
P. Jeffrey Ungar
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Application
METHOD AND APPARATUS FOR QUALIFYING A MASK FOR USE IN LITHOGRAPHY
Publication number
20240085779
Publication date
Mar 14, 2024
Carl Zeiss SMT GMBH
Renzo Capelli
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
METHOD FOR CORRECTING LITHOGRAPHY PATTERN OF SURFACE PLASMA
Publication number
20240077799
Publication date
Mar 7, 2024
Institute of Microelectronics, Chinese Academy of Sciences
Le Ma
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
PHOTOMASK AND METHODS FOR MEASURING AND MANUFACTURING THE PHOTOMASK
Publication number
20240077804
Publication date
Mar 7, 2024
Taiwan Semiconductor Manufacturing Company, Ltd.
Ping-Hsun LIN
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
STRUCTURE AND METHOD OF SIGNAL ENHANCEMENT FOR ALIGNMENT PATTERNS
Publication number
20240053673
Publication date
Feb 15, 2024
Taiwan Semiconductor Manufacturing Company, Ltd.
Hsin-Chieh CHEN
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
OPTICAL PROXIMITY CORRECTION METHOD USING NEURAL JACOBIAN MATRIX AN...
Publication number
20240045321
Publication date
Feb 8, 2024
Samsung Electronics Co., Ltd.
Moojoon Shin
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
SUBSTRATE FOR MASK BLANKS AND METHOD FOR MANUFACTURING THE SAME
Publication number
20230418149
Publication date
Dec 28, 2023
Shin-Etsu Chemical Co., Ltd.
Tomoaki SUGIYAMA
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
METHOD OF MANUFACTURING PHOTO MASKS AND SEMICONDUCTOR DEVICES
Publication number
20230418151
Publication date
Dec 28, 2023
Taiwan Semiconductor Manufacturing Company,Ltd.
Wen-Hao CHENG
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
SUB-RESOLUTION ASSIST FEATURES
Publication number
20230384665
Publication date
Nov 30, 2023
Taiwan Semiconductor Manufacturing Co., Ltd.
Kenji Yamazoe
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Application
EUV In-Situ Linearity Calibration for TDI Image Sensors Using Test...
Publication number
20230341760
Publication date
Oct 26, 2023
KLA Corporation
Haifeng Huang
G01 - MEASURING TESTING
Information
Patent Application
Method Of Fabricating Mask And Method Of Fabricating Semiconductor...
Publication number
20230288795
Publication date
Sep 14, 2023
Samsung Electronics Co., Ltd.
Sung-Hwan BAE
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
METHODS FOR MANUFACTURING PHASE MASKS AND LENS-LESS CAMERA MODULE
Publication number
20230291986
Publication date
Sep 14, 2023
UIF (UNIVERSITY INDUSTRY FOUNDATION), YONSEI UNIVERSITY
Seung Ah LEE
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
Information
Patent Application
WAFER CARRIER WITH RETICLE TEMPLATE FOR MARKING RETICLE FIELDS ON A...
Publication number
20230282502
Publication date
Sep 7, 2023
Micron Technology, Inc.
Gabe Glass
G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY