This application relates to the general field of Integrated Circuit (IC) devices and fabrication methods, and more particularly to multilayer or Three Dimensional Integrated Circuit (3D-IC) devices and fabrication methods.
Over the past 40 years, there has been a dramatic increase in functionality and performance of Integrated Circuits (ICs). This has largely been due to the phenomenon of “scaling”; i.e., component sizes within ICs have been reduced (“scaled”) with every successive generation of technology. There are two main classes of components in Complementary Metal Oxide Semiconductor (CMOS) ICs, namely transistors and wires. With “scaling”, transistor performance and density typically improve and this has contributed to the previously-mentioned increases in IC performance and functionality. However, wires (interconnects) that connect together transistors degrade in performance with “scaling”. The situation today is that wires dominate the performance, functionality and power consumption of ICs.
3D stacking of semiconductor devices or chips is one avenue to tackle the wire issues. By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), the transistors in ICs can be placed closer to each other. This reduces wire lengths and keeps wiring delay low.
There are many techniques to construct 3D stacked integrated circuits or chips including:
Electro-Optics: There is also work done for integrated monolithic 3D including layers of different crystals, such as U.S. Pat. Nos. 8,283,215, 8,163,581, 8,753,913, 8,823,122, 9,197,804, 9,419,031, 9,941,319, 10,679,977, and 10,943,934. The entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.
An early work on monolithic 3D was presented in U.S. Pat. No. 7,052,941 and follow-on work in related patents includes U.S. Pat. No. 7,470,598. A technique which has been used over the last 20 years to build SOI wafers, called “Smart-Cut” or “Ion-Cut”, was presented in U.S. Pat. No. 7,470,598 as one of the options to perform layer transfer for the formation of a monolithic 3D device. Yet in a related patent disclosure, by the same inventor of U.S. Pat. No. 7,470,598, U.S. application Ser. No. 12/618,542 it states: “In one embodiment of the previous art, exfoliating implant method in which ion-implanting Hydrogen into the wafer surface is known. But this exfoliating implant method can destroy lattice structure of the doped layer 400 by heavy ion-implanting. In this case, to recover the destroyed lattice structure, a long time thermal treatment in very high temperature is required. This long time/high temperature thermal treatment can severely deform the cell devices of the lower region.” Moreover, in U.S. application Ser. No. 12/635,496 by the same inventor is stated:
Among the technologies to form the detaching layer, one of the well-known technologies is Hydrogen Exfoliating Implant. This method has a critical disadvantage which can destroy lattice structures of the substrate because it uses high amount of ion implantation. In order to recover the destroyed lattice structures, the substrate should be cured by heat treatment in very high temperature long time. This kind of high temperature heat treatment can damage cell devices in the lower regions.” Furthermore, in U.S. application Ser. No. 13/175,652 it is stated: “Among the technologies to form the detaching layer 207, one technology is called as exfoliating implant in which gas phase ions such as hydrogen is implanted to form the detaching layer, but in this technology, the crystal lattice structure of the multiple doped layers 201, 203, 205 can be damaged. In order to recover the crystal lattice damage, a thermal treatment under very high temperature and longtime should be performed, and this can strongly damage the cell devices underneath.” In fact the Inventor had posted a video infomercial on his corporate website, and was up-loaded on YouTube on Jun. 1, 2011, clearly stating in reference to the Smart Cut process: “The wafer bonding and detaching method is well-known SOI or Semiconductor-On-Insulator technology. Compared to conventional bulk semiconductor substrates, SOI has been introduced to increase transistor performance. However, it is not designed for 3DIC either. Let me explain the reasons. . . . The dose of hydrogen is too high and, therefore, semiconductor crystalline lattices are demolished by the hydrogen ion bombardment during the hydrogen ion implantation. Therefore, typically annealing at more than 1,100 Celsius is required for curing the lattice damage after wafer detaching. Such high temperature processing certainly destroys underlying devices and interconnect layers. Without high temperature annealing, the transferred layer should be the same as a highly defective amorphous layer. It seems that there is no way to cure the lattice damage at low temperatures. BeSang has disruptive 3D layer formation technology and it enables formation of defect-free single crystalline semiconductor layer at low temperatures . . . ”
In at least one embodiment presented herein, at least one innovative method and device structure to repair the crystal lattice damage caused by the hydrogen implant is described.
Regardless of the technique used to construct 3D stacked integrated circuits or chips, heat removal is a serious issue for this technology. For example, when a layer of circuits with power density P is stacked atop another layer with power density P, the net power density is 2P. Removing the heat produced due to this power density is a significant challenge. In addition, many heat producing regions in 3D stacked integrated circuits or chips have a high thermal resistance to the heat sink, and this makes heat removal even more difficult.
Several solutions have been proposed to tackle this issue of heat removal in 3D stacked integrated circuits and chips. These are described in the following paragraphs.
Publications have suggested passing liquid coolant through multiple device layers of a 3D-IC to remove heat. This is described in “Microchannel Cooled 3D Integrated Systems”, Proc. Intl. Interconnect Technology Conference, 2008 by D. C. Sekar, et al., and “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008 by T. Brunschweiler, et al. and “High Performance Heat Sinking for VLSI,” IEEE Electron Device Letters, vol. EDL-2, No. 5, May 1981, by D. B. Tuckerman and R. F. W. Pease.
Thermal vias have been suggested as techniques to transfer heat from stacked device layers to the heat sink. Use of power and ground vias for thermal conduction in 3D-ICs has also been suggested. These techniques are described in “Allocating Power Ground Vias in 3DICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), May 2009 by Hao Yu, Joanna Ho and Lei He.
In addition, thermal limitations during IC fabrication have been a big obstacle on the road to monolithic three-dimensional ICs. The semiconductor and microelectronic processing techniques to form transistors, circuits, and devices, for example to form some silicon oxides or nitrides, repair damages from processes such as etching and ion-implantation, annealing and activation of ion implanted species, and epitaxial regrow techniques, have processing temperatures (for example, greater than 400° C.) and times at temperature that would damage and harm the underlying metallization and/or device layers and structures. These processes may involve transient (short timescales, such as less than 500 ns short wavelength laser pulses) heat exposures to the wafer being processed, or steady state applications (such as RTA, RTO, spike, flash, CVD, ALD) of heat and/or heated material or gases that may have processing times of seconds, minutes, or hours.
Techniques to remove heat from 3D Integrated Circuits and Chips and protect sensitive metallization and circuit elements from either the heat of processing of the 3D layers or the operationally generated heat from an active circuit, will be beneficial.
Additionally the 3D technology according to some embodiments of the invention may enable some very innovative IC devices alternatives with reduced development costs, novel and simpler process flows, increased yield, and other illustrative benefits.
The invention may be directed to multilayer or Three Dimensional Integrated Circuit (3DIC) devices and fabrication methods.
In one aspect, a 3D semiconductor device, the device comprising: a first level, wherein said first level comprises a first layer, said first layer comprising first transistors, and wherein said first level comprises a second layer, said second layer comprising first interconnections; a second level overlaying said first level, wherein said second level comprises a third layer, said third layer comprising second transistors, and wherein said second level comprises a fourth layer, said fourth layer comprising second interconnections; and a plurality of connection paths, wherein said plurality of connection paths provides connections from a plurality of said first transistors to a plurality of said second transistors, wherein said second level is bonded to said first level, wherein said bonded comprises oxide to oxide bond regions, wherein said bonded comprises metal to metal bond regions, and wherein said second level comprises at least one voltage regulator.
In another aspect, a 3D semiconductor device, the device comprising: a first level, wherein said first level comprises a first layer, said first layer comprising first transistors, and wherein said first level comprises a second layer, said second layer comprising first interconnections; a second level overlaying said first level, wherein said second level comprises a third layer, said third layer comprising second transistors, and wherein said second level comprises a fourth layer, said fourth layer comprising second interconnections; a plurality of connection paths, wherein said plurality of connection paths provides connections from a plurality of said first transistors to a plurality of said second transistors, wherein said second level is bonded to said first level, wherein said bonded comprises oxide to oxide bond regions, wherein said bonded comprises metal to metal bond regions, wherein said second level comprises at least one memory array, and wherein said third layer comprises crystalline silicon; and a heat removal path from said third level to an external surface of said device.
In another aspect, a 3D semiconductor device, the device comprising: a first level, wherein said first level comprises a first layer, said first layer comprising first transistors, and wherein said first level comprises a second layer, said second layer comprising first interconnections; a second level overlaying said first level, wherein said second level comprises a third layer, said third layer comprising second transistors, and wherein said second level comprises a fourth layer, said fourth layer comprising second interconnections; and a plurality of connection paths, wherein said plurality of connection paths provides connections from a plurality of said first transistors to a plurality of said second transistors, wherein said second level is bonded to said first level, wherein said bonded comprises oxide to oxide bond regions, wherein said bonded comprises metal to metal bond regions, and wherein said second level comprises at least one charge pump circuit.
In another aspect, a 3D semiconductor device, the device comprising: a first level, wherein said first level comprises a first layer, said first layer comprising first transistors, and wherein said first level comprises a second layer, said second layer comprising first interconnections; a second level overlaying said first level, wherein said second level comprises a third layer, said third layer comprising second transistors, and wherein said second level comprises a fourth layer, said fourth layer comprising second interconnections; and a plurality of connection paths, wherein said plurality of connection paths provides connections from a plurality of said first transistors to a plurality of said second transistors, wherein said second level is bonded to said first level, wherein said bonded comprises oxide to oxide bond regions, wherein said bonded comprises metal to metal bond regions, wherein said second level comprises at least one memory array, wherein said first level comprises a first die area, wherein said first level comprises a first clock tree, wherein said second level comprises a second clock tree, wherein at least one of said connection paths comprises connecting between said first clock tree and said second clock tree, and wherein said third layer comprises crystalline silicon.
In another aspect, a 3D semiconductor device, the device comprising: a first level, wherein said first level comprises a first layer, said first layer comprising first transistors, and wherein said first level comprises a second layer, said second layer comprising first interconnections; a second level overlaying said first level, wherein said second level comprises a third layer, said third layer comprising second transistors, and wherein said second level comprises a fourth layer, said fourth layer comprising second interconnections; and a plurality of connection paths, wherein said plurality of connection paths provides connections from a plurality of said first transistors to a plurality of said second transistors, wherein said second level is bonded to said first level, wherein said bonded comprises oxide to oxide bond regions, wherein said bonded comprises metal to metal bond regions, wherein said second level comprises at least one area I/O.
In another aspect, a 3D semiconductor device, the device comprising: a first level, wherein said first level comprises a first layer, said first layer comprising first transistors, and wherein said first level comprises a second layer, said second layer comprising first interconnections; a second level overlaying said first level, wherein said second level comprises a third layer, said third layer comprising second transistors, and wherein said second level comprises a fourth layer, said fourth layer comprising second interconnections; and a plurality of connection paths, wherein said plurality of connection paths provides connections from a plurality of said first transistors to a plurality of said second transistors, wherein said second level is bonded to said first level, wherein said bonded comprises oxide to oxide bond regions, wherein said bonded comprises metal to metal bond regions, wherein said second level comprises at least one memory array, wherein said third layer comprises crystalline silicon, and wherein said second level comprises at least one Phase Lock Loop (PLL) circuit.
In another aspect, a 3D semiconductor device, the device comprising: a first level, wherein said first level comprises a first layer, said first layer comprising first transistors, and wherein said first level comprises a second layer, said second layer comprising first interconnections; a second level overlaying said first level, wherein said second level comprises a third layer, said third layer comprising second transistors, and wherein said second level comprises a fourth layer, said fourth layer comprising second interconnections; and a plurality of connection paths, wherein said plurality of connection paths provides connections from a plurality of said first transistors to a plurality of said second transistors, wherein said second level is bonded to said first level, wherein said bonded comprises oxide to oxide bond regions, wherein said bonded comprises metal to metal bond regions, wherein said second level comprises at least one memory array, wherein said first level comprises a first die area, wherein said first level comprises a first scan chain, wherein said second level comprises a second scan chain, wherein at least one of said connection paths comprises connecting between said first scan chain and said second scan chain, and wherein said third layer comprises crystalline silicon.
In another aspect, a 3D semiconductor device, the device comprising: a first level, wherein said first level comprises a first layer, said first layer comprising first transistors, and wherein said first level comprises a second layer, said second layer comprising first interconnections; a second level overlaying said first level, wherein said second level comprises a third layer, said third layer comprising second transistors, and wherein said second level comprises a fourth layer, said fourth layer comprising second interconnections; a plurality of connection paths, wherein said plurality of connection paths provides connections from a plurality of said first transistors to a plurality of said second transistors, wherein said second level is bonded to said first level, wherein said bonded comprises oxide to oxide bond regions, wherein said bonded comprises metal to metal bond regions, wherein said second level comprises at least one memory array, wherein said third layer comprises crystalline silicon; and wherein said second level comprises at least one SerDes circuit.
In another aspect, a 3D semiconductor device, the device comprising: a first level, wherein said first level comprises a first layer, said first layer comprising first transistors, and wherein said first level comprises a second layer, said second layer comprising first interconnections; a second level overlaying said first level, wherein said second level comprises a third layer, said third layer comprising second transistors, and wherein said second level comprises a fourth layer, said fourth layer comprising second interconnections; and a plurality of connection paths, wherein said plurality of connection paths provides connections from a plurality of said first transistors to a plurality of said second transistors, wherein said second level is bonded to said first level, wherein said bonded comprises oxide to oxide bond regions, wherein said bonded comprises metal to metal bond regions, wherein said second level comprises at least one memory array, wherein said third layer comprises crystalline silicon, and wherein said second level comprises at least one oscillator circuit.
Various embodiments of the invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which:
An embodiment of the invention is now described with reference to the drawing figures. Persons of ordinary skill in the art will appreciate that the description and figures illustrate rather than limit the invention and that in general the figures are not drawn to scale for clarity of presentation. Such skilled persons will also realize that many more embodiments are possible by applying the inventive principles contained herein and that such embodiments fall within the scope of the invention which is not to be limited except by the appended claims.
Some drawing figures may describe process flows for building devices. The process flows, which may be a sequence of steps for building a device, may have many structures, numerals and labels that may be common between two or more adjacent steps. In such cases, some labels, numerals and structures used for a certain step's figure may have been described in the previous steps' figures.
Some monolithic 3D approaches are described in U.S. Pat. Nos. 8,273,610, 8,557,632, 8,298,875, 8,557,632, 8,163,581, 8,378,715, 8,379,458, 8,450,804, 8,574,929, 8,581,349, 8,687,399, 8,742,476, 8,674,470, 8,994,404, 9,023,688, 9,219,005, 9,385,058, 9,640,531. The entire contents of the foregoing patents are incorporated herein by reference.
Defect annealing, such as furnace thermal or optical annealing, of thin layers of the crystalline materials generally included in 3D-ICs to the temperatures that may lead to substantial dopant activation or defect anneal, for example above 600° C., may damage or melt the underlying metal interconnect layers of the stacked 3D-IC, such as copper or aluminum interconnect layers. An embodiment of the invention is to form 3D-IC structures and devices wherein a heat spreading, heat conducting and/or optically reflecting or absorbent material layer or layers (which may be called a shield) is incorporated between the sensitive metal interconnect layers and the layer or regions being optically irradiated and annealed, or annealed from the top of the 3D-IC stack using other methods. An exemplary generalized process flow is shown in FIGS. 33A-F of incorporated patent reference U.S. Pat. No. 8,574,929. An exemplary process flow for an FD-RCAT with an optional integrated heat shield/spreader is shown in FIGS. 34A-G of incorporated patent reference U.S. Pat. No. 8,574,929. An exemplary process flow for a FD-MOSFET with an optional integrated heat shield/spreader is shown in FIGS. 45A-G of incorporated patent reference U.S. Pat. No. 8,574,929. An exemplary process flow for a planar fully depleted n-channel MOSFET (FD-MOSFET) with an optional integrated heat shield/spreader and back planes and body bias taps is shown in FIGS. 46A-G of incorporated patent reference U.S. Pat. No. 8,574,929. An exemplary process flow for a horizontally oriented JFET or JLT with an optional integrated heat shield/spreader is shown in FIGS. 47A-G of incorporated patent reference U.S. Pat. No. 8,574,929. An alternate method to construct a planar fully depleted undoped channel MOSFET (FD-MOSFET) with an optional integrated heat shield/spreader and back planes and body bias taps suitable for a monolithic 3DIC is shown in FIGS. 5A-5F of parent US 2017/0207214. The 3D-ICs may be constructed in a 3D stacked layer using procedures outlined herein and such as, for example, FIGS. 39, 40, 41 of incorporated patent reference U.S. Pat. No. 8,574,929 and in other incorporated references. The topside defect anneal may include optical annealing to repair defects in the crystalline 3D-IC layers and regions (which may be caused by the ion-cut implantation process), and may be utilized to activate semiconductor dopants in the crystalline layers or regions of a 3D-IC, such as, for example, LDD, halo, source/drain implants. The 3D-IC may include, for example, stacks formed in a monolithic manner with thin layers or stacks and vertical connection such as TLVs, and stacks formed in an assembly manner with thick (>2 um) layers or stacks and vertical connections such as TSVs. Optical annealing beams or systems, such as, for example, a laser-spike anneal beam from a commercial semiconductor material oriented single or dual-beam continuous wave (CW) laser spike anneal DB-LSA system of Ultratech Inc., San Jose, CA, USA (10.6 um laser wavelength), or a short pulse laser (such as 160 ns), with 308 nm wavelength, and large area (die or step-field sized, including 1 cm2) irradiation such as offered by Excico of Gennevilliers, France, may be utilized (for example, see Huet, K., “Ultra Low Thermal Budget Laser Thermal Annealing for 3D Semiconductor and Photovoltaic Applications,” NCCAVS 2012 Junction Technology Group, Semicon West, San Francisco, Jul. 12, 2012). Additionally, the defect anneal may include, for example, laser anneals (such as suggested in Rajendran, B., “Sequential 3DIC Fabrication: Challenges and Prospects”, Proceedings of VLSI Multi Level Interconnect Conference 2006, pp. 57-64), Ultrasound Treatments (UST), megasonic treatments, and/or microwave treatments. The topside defect anneal ambient may include, for example, vacuum, high pressure (greater than about 760 torr), oxidizing atmospheres (such as oxygen or partial pressure oxygen), and/or neutral/reducing atmospheres (such as nitrogen or argon or hydrogen). The topside defect anneal may include temperatures of the layer being annealed above about 400° C. (a high temperature thermal anneal), including, for example, 600° C., 800° C., 900° C., 1000° C., 1050° C., 1100° C. and/or 1120° C., and the sensitive metal interconnect (for example, may be copper or aluminum containing) and/or device layers below may not be damaged by the annealing process, for example, which may include sustained temperatures that do not exceed 200° C., exceed 300° C., exceed 370° C., or exceed 400° C. As understood by those of ordinary skill in the art, short-timescale (nanosceonds to miliseconds) temperatures above 400° C. may also be acceptable for damage avoidance, depending on the acceptor layer interconnect metal systems used. The topside defect anneal may include activation of semiconductor dopants, such as, for example, ion implanted dopants or PLAD applied dopants. It will also be understood by one of ordinary skill in the art that the methods, such as the heat sink/shield layer and/or use of short pulse and short wavelength optical anneals, may allow almost any type of transistor, for example, such as FinFets, bipolar, nanowire transistors, to be constructed in a monolithic 3D fashion as the thermal limit of damage to the underlying metal interconnect systems is overcome. Moreover, multiple pulses of the laser, other optical annealing techniques, or other anneal treatments such as microwave, may be utilized to improve the anneal, activation, and yield of the process. The transistors formed as described herein may include many types of materials; for example, the channel and/or source and drain may include single crystal materials such as silicon, germanium, or compound semiconductors such as GaAs, InP, GaN, SiGe, and although the structures may be doped with the tailored dopants and concentrations, they may still be substantially crystalline or mono-crystalline. The transistors in a first layer of transistors may include a substantially different channel and/or source/drain material than the second layer of transistors. For example, the first layer of transistors may include silicon-based transistor channels and the second layer of transistors may include a germanium based transistor channels.
The various layers of a 3D device may include many types of circuitry, which may be formed by regions of transistors and other semiconductor device elements within that layer or in combination with other layers of the 3D device, and connections between the transistors within the same region, region to region and vertically (layer to layer, stratum to stratum) may be provided by layers of interconnect metallization and vertical connections such as TLVs and TSVs. In addition, power routing within the 3D device may utilize thicker and/or wider (more conductive) interconnect metallization than another layer, especially if the layer is closest to the source of external power and/or has a greater current load/supply requirement. Many individual device and interconnect embodiments for 3D devices have been described herein and in the incorporated patent references. As illustrated in
Conductive pads 690 and associated I/O circuits and any redistribution layers may be arranged and lay-ed out in many configurations. For example, conductive pads 690 may be designed and lay-ed out as a perimeter bond pad grouping or as an array I/O wherein the conductive bond pads may be arrayed throughout the area of the die when viewed from above or below. Conductive pads 690, whether arrayed in area or perimeter, may include the associated I/O and/or ESD circuitry positioned vertically below (or above for ‘backside pads’) the conductive pads and on the same layer/stratum, vertically below (or above for ‘backside pads’) on a layer/stratum not the same as the conductive pad layer/stratum, or not vertically below (or above for ‘backside pads’) the conductive pad, yet on the same layer/stratum as the conductive pads 690 or on a layer/stratum not the same layer/stratum. Array packages may include the PGA, BGA, FBGA, Fan-in QFN, and Fan-out WLPs and may utilize attachments such as solder balls or columns.
Stress relief from wire bonding, ball bonding, column attaching may be mitigated in the 3DIC stack. For example, conductive bond pad 690 may be replicated in full or in part down one or more layers/stratum directly below, and this ‘stack of bond pads’ may extend to the substrate 695. Bonding stresses may be mitigated by forming a relatively soft layer or region underneath conductive bond pads 690, for example a low-k dielectric and/or an aero-gel. In addition, a region or layer of a conductive aerogel may be formed underneath conductive pad 690 that would allow at least a one-time crush and still maintain conductivity and reliability. A combination of a hard layer and then a soft layer may also be employed below conductive pads 690. Young's modulus may be a measure of soft and hard. A MEMS structure, for example a torsion spring assembly, may be formed directly underneath the bonding area of conductive pad 690.
Persons of ordinary skill in the art will appreciate that the illustrations in
A 3D system, such as has been described herein and in relation to at least FIG. 11 and FIG. 12 of incorporated reference U.S. Pat. No. 8,378,715, is not limited to a configurable system and could be used in other types of platform configurations. The strata of such a 3D system could be connected by TSV and might use an interposer or be directly placed one on top of the other. Also the strata might be connected by what has been called in this application and the patents, publications, and applications that are incorporated by reference, through layer via (“TLV”) where the layer carrying the transistor may be thin (below about 2 micron or below about 100 nm in thickness or below about 30 nm in thickness).
Additional embodiment for a 3D platform is to use a variation of strata which might include in some platforms a single stratum of memory and in another platform two strata of memory offering a larger memory. Another variation could use a different amount of programmable logic ranging from no programmable logic to multiple strata of programmable logic. Another variation could add special system input/output resources ranging from no SERDES to one or more strata of I/O (Input Output) that may include various amounts of SERDES enabled I/O.
While the previous discussion described how an existing power distribution network or structure could be designed/formed and they can transfer heat efficiently from logic/memory cells or gates in 3D-ICs to their heat sink, many techniques to enhance this heat transfer capability will be described herein and in at least incorporate reference U.S. Pat. No. 8,803,206. Many embodiments of the invention can provide several benefits, including lower thermal resistance and the ability to cool higher power 3D-ICs. As well, thermal contacts may provide mechanical stability and structural strength to low-k Back End Of Line (BEOL) structures, which may need to accommodate shear forces, such as from CMP and/or cleaving processes. The heat transfer capability enhancement techniques may be useful and applied to different methodologies and implementations of 3D-ICs, including monolithic 3D-ICs and TSV-based 3D-ICs. The heat removal apparatus employed, which may include heat sinks and heat spreaders, may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure
In 3D systems, a portion of the layers/strata might be dedicated to memory and a portion to logic. The memory layer could include various type of memory such SRAM, DRAM, Floating Body RAM, R-RAM and Flash types. The memory layer might include the memory control circuits and memory peripheral circuits or those could be in a layer above or below the memory layer. The memory could be constructed on a single layer or might include two or more layers. An effective option could be to use two or more layers of memories utilizing an architecture such as have been presented in the incorporated by reference patents, publications, and applications, wherein a lithography step may be used to pattern two or more layers together, thus reducing the overall cost by sharing the costly step of lithography across two or more layers. Some memory layers could be dedicated to a single type of memory or to mix of various types of memory. For example, a compute layer may be supported by multiple layers of memory processed with lithography that is shared across these multiple layers, and where these layers may include non-volatile memory to hold the program and volatile memory to hold data.
An attractive advantage of having the memory decoders and logic above the memory layer wherein the memory layer may be an array of bit cells, relates to an option of pre-patterning the transferred layer prior to the layer transfer. In such a case many high temperature steps could be performed on that layer before the layer transfer, such as forming trench isolation or even full transistors as has been presented in at least U.S. Pat. No. 8,273,610 and before in relation to FIG. 19 of incorporated reference U.S. Pat. No. 8,378,715. Accordingly a transferred layer misalignment could be reduced when the transfer layer is patterned with a repeating pattern. The same concept could be inverted, with a non-repeating layer transferred on top of a repeating one. Accordingly, the alignment error could be reduced to about the size of the repeating element, the bit cell. This approach is similar to the method presented in relation to FIG. 19 of incorporated reference U.S. Pat. No. 8,378,715, except that in this case the shift to compensate for the misalignment may be done in respect to the bit-cell array. This approach will require a relatively larger window to be etched so the required memory could be sized through the overlaying transferred layer and then a connection to the bit lines and word lines could be made by including large enough landing zones/strips to connect to them.
In such way a single expensive mask set can be used to build many wafers for different memory sizes and finished through another mask set that is used to build many logic wafers that can be customized by few metal layers.
Many devices may have at least one processor on chip and often more than one. In most cases these processors use at least one bus to commonly communicate with multiple sub systems such as memory and peripherals.
As illustrated in
In some cases it may be desired to reduce the skew between edges as illustrated in the branch tree 1204 wherein clock tree branches 1214 are shorted by cross-link 1222. Another style of clock distribution is called Mesh 1206 where a grid of connection is used to distribute the clock signal. These schemes may be combined to form a hybrid 1208 where a tree 1220 may be added to a grid 1225. In a 3D device it might be desired to split logic circuits between at least two strata including circuits that share the same clock domain In such case it might be desired to first connect the clock origin to each strata that has circuits that use that clock domain, then to construct within each stratum a clock distribution structure that might include a clock tree such as, for example, H tree, or grid and tree combination or other clock distribution scheme used in the art. (See, for example,
Distribution of a clock signal from one stratum to the next may be accomplished with electrically conductive vertical connections, for example, TLVs, or may be accomplished by an RF/capacitive or optical device and connection between stratums. For example, a clock signal generated in a device layer above the substrate layer may be electrically coupled to various points on the substrate layer below (supplying a second layer generated clock signal to a portion or substantially all of the substrate based transistors) utilizing a TLV connection or connections, or an RF/capacitive or optical connection or connections. Utilizing an only RF/capacitive or optical connection or connections between stratums may be advantageous when it is desirable to isolate a noisy device type to a single layer, yet bring out the intended signals and not the noise. For example, and analog or RF device layer in a 3DIC stack could be emf/emi shielded top and bottom (and sides if necessary), with only openings for a vertical RF/capacitive or optical connection where desired, thus minimizing the disturb effects of the analog or RF device layer on any of the other device layers in the 3DIC stack.
Scaling advanced CMOS field effect transistors face at least two problems that result in high power consumption: the increasing difficulty of reducing the supply voltage and stopping the rise of leakage currents. One device that may replace the CMOS FET is the tunnel FET (TFET). The primary injection mechanism in a TFET is interband tunneling whereby charge carriers transfer from one energy band into another at a heavily doped p+-n+ junction. (In contrast, for MOSFETs the charge carriers are thermally injected over a barrier). Ioff, the off current of the TFET, is quite low due to this injection barrier and an inherently very steep subthreshold slope. However, obtaining good Ion is difficult. High barrier transparency is vital and strong modulation by the gate of the channel bands is critical. Thus, high permittivity gate dielectrics with as low an equivalent oxide thickness as possible are desirable, as well as providing as thin of a channel body as possible for best case electron transport, plus an abrupt doping profile at the tunnel junction to maximize injection efficiency. Maximizing the gate modulation of the tunneling barrier width can be accomplished by overlapping the gate with the tunneling region, or designing a source region covered with an intrinsic channel layer under the top gate. TFETs can be formed with a horizontally oriented channel or a vertically oriented channel. Many types of TFETs have been developed for 2D applications, for example, double gate heterojunction TFET, nanowire TFET, Resonant TFET, synthetic electric field TFET, III-V based TFETs, and carbon based TFETs. Many of these can be advantageously formed in a monolithic 3D process flow. Furthermore, the gate dielectric on transistors may have different dielectric permittivities than silicon dioxde. The gate dielectric permittivity of the second layer transistors may be different than the gate dielectric permittivity of the first layer transistors.
For example, the 2D strained Si nanowire (SiNW) TFETs of L. Knoll, et al., “Demonstration of Improved Transient Response of Inverters with Steep Slope Strained Si NW TFETs by Reduction of TAT with Pulsed I-V and NW Scaling,” IEEE IEDM 2013, paper 4.4, the contents incorporated herein by reference, may be constructed utilizing the monolithic 3D techniques and methods disclosed in the incorporated references. For example, nanowires may be formed by patterning and etching either an amorphous-Si or a layer transferred monocrystalline silicon (may be strained Si as well) thin layer on top of metallization layers that are on top of a substrate of devices and circuits. HKMG gate stacks may be formed and then very thin Ni and Al layers may be deposited to form self-aligned source/drain silicides into which angled implants are shadow implanted to form p+ and n+ pockets on opposite sides of the gates and steep sloped abrupt junctions can be formed with dopant segregation techniques. RTP and/or pulsed laser techniques with or without shielding may be employed for the thermal steps. GAA (Gate All Around) or frigate structures may be formed as disclosed in the incorporated references. Back gates may be formed in-situ above the bonding oxides or may be from topmost metal layers of the layer below's shields and/or interconnect layers, as disclosed in the incorporated references and herein (the back-gate/bias plane may be accomplished with an integrated device, for example, a back-channel region 522 or by a base layer (or layer below) top metal plate/line (for example, such as the topmost shield layer/region 688) in a monolithic 3D configuration).
For example, the synthetic electric field tunnel FETs (SE-TFET) of Y. Morita, et al., “Synthetic electric filed tunnel FETs: drain current multiplication demonstrated by wrapped gate electrode around thin epitaxial channel,” IEEE VLSI Symposium 2013, paper 16.1, T236, the contents incorporated herein by reference, may be constructed utilizing the monolithic 3D techniques and methods disclosed in the incorporated references. For example, highly doped source and drains may be formed on a substantially undoped monocrystalline donor substrate with ion-implantation and activated as strips and then layer transferred as a monocrystalline silicon thin layer on top of metallization layers that are on top of a substrate of devices and circuits. The source and drains may also be formed directly on a layer transferred substantially undoped monocrystalline thin layer with masking and ion-implantation/PLAD techniques, and then activated with the optical and/or thermal annealing with or without shields as disclosed in the incorporated references. A thin (about 5 nm to about 20 nm) undoped channel layer may be formed with low temperature, for example, such as MOCVD or SP-ALD techniques, to preserve the abrupt vertical junction profile. A HKMG gate stack may be formed, for example, an about 5 nm HfO2 insulator and an about 40 nm TiN gate electrode deposition, and subsequent patterning and etching. Interconnect formation may then ensue. Narrow channel widths and thicknesses increase electric field effects and thus may substantially improve the Ion. Backgates, for example, as described herein and in the incorporate references, may also be utilized to increase Ion and decrease Ioff. Layer transfer of the source, channel and drain provide a monolithic 3D formation advantage to TFETs: for example, not just the addition of a natural integrated backgate, but also the ability to use a higher carrier mobility transferred layer such as, for example, Si1-xGex or Ge, and InGaAs.
For example, the complementary hetero junction vertical TFETs (VTFET) of R. Rooyackers, et al., “A New Complementary Hetero-Junction Vertical Tunnel-FET Integration Scheme,” IEEE IEDM 2013, paper 4.21, pp. 92-94, the contents incorporated herein by reference, may be constructed utilizing the monolithic 3D techniques and methods disclosed in the incorporated references. For example, and N+Si/intrinsic Si stack may be formed on a donor wafer, flipped, bonded and layer transferred to a pre-processed acceptor substrate (of completed transistors, etc.). The vertical nanowires may be masked and etch utilizing a hard mask, the drain and gate isolated, gate stacks (may be complementary) formed, and the gates and dummy source may be isolated. The source can then be selectively etched out and replaced by a low-band-gap material to form the hetero junction on top of a silicon channel enable a sharp junction.
ALD (Atomic Layer Deposition) and Spatial ALD (Spatial separation of the half-reactions) techniques may be utilized to form thin nearly monocrystalline layers in a monolithic 3D fashion and for many of the various monolithic 3D structures disclosed herein and in the incorporated references. [S-ALD ref J. Vac. Sci. Technol. A 30(1), January/February 2012, Roll to Roll techniques form USA, Finland; and Poodt, P., et al., Advanced Materials 22 (2010) p. 3564]. These are low temperature processes that may be compatible with copper or aluminum metallization and/or low-k dielectrics on the layers below the ALD/S-ALD layer being formed.
For example, the stacking of layers to eventually form a memory stack, may be formed by ALD/S-ALD exclusively or in combination with other deposition techniques such as low temp CVD. ALD/S-ALD may be utilized, for example, as described in at least incorporated reference U.S. Pat. No. 8,273,610 to form the p-Si 9906/oxide layers in FIG. 99C for FB-DRAM formation and devices, the RRAM stack of FIGS. 101D, 102D, 103F, 109D, 110D, 192D, charge trap stacks such as FIG. 106F, and FIGS. 100D and 200D for DRAM.
ALD layers may be doped in-situ with no need for thermal activation to form doped layers (and ultimately regions with masking and etch processing), and may be used to form both or one of the layers of semiconductor/dielectric stacks or semiconductor/semiconductor stacks, for example, Si & SiO2, Ge & GeO, Si & Si of differing vertical dopant concentrations and/or dopant types, etc. The ALD/S-ALD formed layers may also be conventionally doped with ion-implantation and activated with techniques such as described in the incorporated references, for example, with an optical anneal.
ALD/S-ALD may be utilized, for example, as described in at least incorporated reference U.S. Pat. No. 8,273,610 to form an N+/N−/P+ stack such as shown in
ALD/S-ALD may be utilized, for example, as described in at least incorporated reference U.S. Pat. No. 8,273,610 to form the N+/P− stack of FIG. 137C to ultimately form an NVM FPGA configuration cell.
Also meta-material layers for thermal isolation layers, such as disclosed in U.S. Pat. No. 9,023,688, incorporated herein by reference, may be formed with ALD/S-ALD techniques; disordered nanostructured materials such as WSe2 and the nanoscale layered oxides such as SiO2/Y2O3, SiO2/Cr2O3, and SiO2/Al2O3 for TIL 140.
ALD/S-ALD may be utilized, for example, for low temperature formation of oxide layers, such as SiO2, nearly crystalline silicon layers, and silicon nitride layers such as Si3N4 and SiN. The formation of these layers would not damage the underlying temperature sensitive layers and regions, for example, including copper, aluminum, low-k dielectrics.
Layer transfer a mono-crystalline layer of silicon on top of an underlying layer or layers of interconnect metallization/dielectrics and transistors/circuits allowing a relatively easy process to seed and crystallize, such as by nanographioepitaxy, an overlying germanium layer was disclosed in at least paragraph 134 of incorporated reference U.S. Pat. No. 8,273,610. This allows formation of the two types of transistors with direct alignment to the underlying device layer. P-channel Ge transistors, such as, for example, an RCAT or MOSFET, may be formed utilizing the technique in certain regions of the transferred layer, and n-channel Si transistors, such as, for example, an RCAT or MOSFET, may be formed in the monocrystalline silicon of other regions of the layer transferred silicon layer. By utilizing the technique of doping and activating the layer to be transferred on the donor wafer prior to transfer, a transistor such as, for example, an RCAT, may be formed on the transferred layer utilizing the methods of at least FIGS. 66-68 and associated specification sections of incorporated reference U.S. Pat. No. 8,273,610. The Ge regions may be crystallized prior to the formation of the silicon transistors and some common formation steps may be taken advantage of, or the silicon based and Ge based transistors may be formed in separate steps of the process flow. Si based MOSFETs may be formed, for example, by the gate replacement methods of at least FIGS. 70, 81, 82 and associated specification sections of incorporated reference U.S. Pat. No. 8,273,610. Regions of the silicon may be etched out (leaving appropriate crystallized silicon edges, regions, spaces for the graphioepitaxy and/or seeding) and crystallized Ge regions may be formed, and Ge based transistors made. Si based MOSFETs may be formed, for example, by the implant and optical anneal methods of at least FIGS. 45, 46, 47 and associated specification sections of incorporated reference U.S. Pat. No. 8,574,929. One of ordinary skill in the art would recognize that there are many devices which may be formed above an underlying layer or layers of interconnect metallization/dielectrics and transistors/circuits wherein a portion of the transistors (such as but not limited to the channel or portions of the transistor channel) may be monocrystalline silicon based and a portion of the transistors (such as but not limited to the channel or portions of the transistor channel) may be crystallized Ge based using a method or combination of methods disclosed herein and/or in the incorporated references. The Ge (or GaAs, InP, etc.) formed by LPE into subcritical vias of the transferred silicon layer with engineered layer such as Ge—see at least FIGS. 27-28 and associated specification sections of incorporated reference U.S. Pat. No. 8,574,929) transistor may be utilized for optical I/Os on the top layer (or lower layers with optical passthrus above or below) of the monolithic 3D stack.
Reasons for forming and utilizing a TRL layer and/or regions may include RF applications, for example horizontal and/or vertical emf/emi shielding. For example, RF transistor and circuit performance on any layer of a 3DIC, such as a strata layer or base substrate, may be limited in terms of transmission line losses by the presence of parasitic surface conduction (PSC). A TRL layer would be considered effective if the presence of the TRL provides an effective resistivity, the true electrical resistivity sensed by a co-planar waveguide (CPW) line, higher than about 1 kohm-cm, or higher than about 3 k-ohm-cm. This may result in a CPW attenuation versus frequency response that is substantially similar to the response of a CPW on a quartz substrate. A TRL may accomplish this thru material or materials and processing that creates and/or incorporates defects in the material. A TRL may be formed with temperature exposures and processes above about 400° C. when formed on a donor substrate prior to layer transfer, and may be formed by temperature exposures and process of less than about 400° C. when formed on the acceptor wafer that may include copper or aluminum metallization and/or low-k dielectrics, for example, prior to a monolithic 3D layer transfer or a TSV stack bonding step. An integrated TRL may provide a higher performance for transistors and circuits built on the layers/strata of a 3DIC system stack; for example, a radio frequency (RF) receiver/transmitter circuit may operate at greater than about 1 gigahertz, a phase lock loop (PLL) circuit may operate at greater than about 1 megahertz, a Serializer/Deserializer (SerDes) circuit may operate at greater than about 1 gigahertz, an oscillator may have a frequency stability of better than 100 ppm/° C., an RF circuit may exhibit ‘substrate’ losses when placed in a second (or third, etc.) layer/stratum of a monolithic 3DIC device which are, for example, less than 0.15 dB/mm at 2 GHz, less than 0.20 dB/mm at 4 GHz. The integrated TRL may provide a shielding effectiveness of vertically adjacent layers/strata devices of, for example, more than 60 dB, more than 50 dB, or more than 70 dB.
As illustrated in
Continuing with the
TRL 1340 formation may include deposition of an amorphous silicon or a polysilicon film, or a combination of the two. The deposition may utilize an LPCVD technique wherein the polycrystalline film may be deposited at about 625° C. and the amorphous silicon film may be deposited at about 525° C. Other techniques, such as sputtering, PECVD, etc., may be utilized. The deposited film may be partially crystallized with a rapid thermal anneal (RTA) exposure, for example about 100 seconds at about 900° C. TRL 1340 may have a thickness that would accomplish the effective resistivity metric at the frequency(ies) of interest for the circuit design discussed previously herein, and may be, for example, about 5 nm, about 10 nm, about 20 nm, about 30 nm, about 50 nm about 100 nm, about 200 nm, or about 500 nm thick.
TRL 1340 formation may include damaging the surface and/or a top layer of, for example, back channel layer 1305 (or of the substrate in the case of a bulk donor layer transfer method), thus creating a damage layer (or regions if masked or etched). Damage may be caused by ion implantation, for example, such as an Argon ion-implant of about 1015 atoms/cm2. Plasma sheath, or plasma source ion implantation may be utilized. Preferably the implantation is configured to damage and not dope the layer, the objective being to provide as high an effective resistivity as possible. The dose and energy may be set to bring the damaged layer close to or completely amorphize the crystalline structure of the damaged layer or region.
TRL 1340 formation may include deposition of a silicon rich silicon oxide, a silicon rich silicon nitride, and may include deposition of a siliconoxynitride film. TRL 1340 formation may include deposition of a carbon or carbon rich film, for example, amorphous carbon, disordered grapheme, DLC (Diamond Like Carbon), disordered carbon nanotube mats, or SiCO. TRL 1340 formation may include some of the materials formed in creation of the TIL layer of incorporated U.S. Pat. No. 9,023,688 that have a high trap density, for example, forming nanoscale layered oxides or layers of disordered nanostructured materials.
Some references concerning trap layer materials may be found in at least these references: Frohman-Bentchkowsky, D. (1969). An integrated metal-nitride-oxide-silicon (MNOS) memory. Proceedings of the IEEE, 57(6), 1190-1192; and Frohman-Bentchkowsky, D., & Lenzlinger, M. (1969). Charge Transport and Storage in Metal-Nitride-Oxide-Silicon (MNOS) Structures. Journal of Applied Physics, 40(8), 3307-3319; and White, M. H., & Cricchi, J. R. (1972). Characterization of thin-oxide MNOS memory transistors. Electron Devices, IEEE Transactions on, 19(12), 1280-1288; and Thermal and plasma nitridation of silicon and silicon dioxide for ultrathin gate insulators of MOS VLSI. Ph.D. dissertation by Moslehi, Mehrdad Mahmud, Stanford University, 1986; Roda Neve, C., and Raskin, J. P. (2012). RF harmonic distortion of CPW lines on HR-Si and trap-rich HR-Si substrates. Electron Devices, IEEE Transactions on, 59(4), 924-932; and Sarafis, P., Hourdakis, E., Nassiopoulou, A. G., Roda Neve, C., Ben Ali, K., & Raskin, J. P. (2013). Advanced Si-based substrates for RF passive integration: Comparison between local porous Si layer technology and trap-rich high resistivity Si. Solid-State Electronics, 87, 27-33; the following in their entirety are incorporated by reference. These references, esp. Moslehi's thesis, discuss various processes, outside of ion implantation, which may be used to create an embedded layer of trapped charge, and characterize the trapped charge per unit area as a function of various processing conditions.
TRL 1340 may be formed thicker than the desired end thickness, and then thinned by, for example, CMP processing or etching, to the desired end thickness, or for the purposes of smoothing the surface to enable improved bonding.
A pre-layer-transfer anneal may be performed as part of the TRL 1340 formation process or after the formation of TRL 1340, and may include a thermal anneal equal to or greater than the maximum temperature that the TRL 1340 would see during subsequent processing, for example, during the 3DIC stack processing, including layer transfer/bonding, transistor/device formation, activation anneals, and so on. The pre-layer-transfer anneal temperature may be about 10° C. greater than the process exposure maximum, or about 20° C. greater than the process exposure maximum, or about 30° C. greater than the process exposure maximum, or about 40° C. greater than the process exposure maximum. The pre-layer-transfer anneal temperature may be limited by the effect/damage it may have on the doping gradients elsewhere in the donor stack, or the damage repair/stress/outgassing effects on TRL 1340, as examples. The time of the pre-layer-transfer anneal at maximum temperature (the process exposure maximum) may be less than about 130 minutes, or less than about 1 hour, or less than about 2 hours. The formation of TRL 1340 and/or the anneal of TRL 1340 and the donor stack may be at a temperature and time greater than allowed by a metallized acceptor structure, for example, above about 400° C., above about 600° C., above about 800° C., above about 1000° C.
As illustrated in
A layer transfer demarcation plane (shown as dashed line) 1399 may be formed by hydrogen implantation or other methods (such as a preformed SiGe layer) as described in the incorporated references, and may reside within the SOI donor wafer substrate 1301. The SOI donor wafer substrate 1301 stack surface 1382, and acceptor wafer 1397 (first shown in
As illustrated in
Formation of transistors and devices on the layer being processed 1360 without harming the underlying structures of acceptor wafer 1397 may proceed with a variety of methods. For example, as disclosed in at least FIGS. 33 and 46 and related specification sections of incorporated by reference U.S. Pat. No. 8,574,929, transistors may be formed with an integrated heat shields and optical annealing. For example, formation of CMOS in one transferred layer and the orthogonal connect strip methodology may be found as illustrated in at least FIGS. 30-33, 73-80, and 94 and related specification sections of U.S. Pat. No. 8,273,610, and may be applied. Low temperature and/or heat shielded 3D stacking transistor formation techniques may help preserve the effectiveness of the trap rich layer by not significantly annealing the defects and traps.
The exemplary flow herein
Damage/defects to a crystalline structure of back channel layer 1305 and remaining channel layer 1307 may be annealed by conventional thermal anneals with appropriate cold chuck equipment and/or some of the annealing methods as described in the incorporated references, for example the short wavelength pulsed laser techniques, wherein the layer being processed 1360 (which may include back channel layer 1305, BOX layer 1303 and remaining channel layer 1307) may be heated to defect annealing temperatures, but the underlying structures of acceptor wafer 1397 may be kept below the damage temperature of acceptor wafer 1397, for example, less than about 400° C.
The top surface of remaining channel layer 1307 may be chemically mechanically polished, and/or heat treated, to bring the surface quality to conventional defect levels and/or may be thinned by low temperature oxidation and strip processes, such as the TEL SPA tool radical oxidation and HF:H2O solutions as described in referenced patents and patent applications. Thru the processing, the wafer sized layer channel layer 1307 could be thinned from its original total thickness, and its final total thickness could be in the range of about 5 nm to about 20 nm, for example, 5 nm, 7 nm, 10 nm, 12 nm, 15 nm, or 20 nm. Channel layer 1307 may have a thickness and/or doping that may allow fully-depleted channel operation when the FD-MOSFET transistor is substantially completely formed. Acceptor wafer 1397 may include one or more shield/heat sink layers 1318, which may include materials such as, for example, Aluminum, Tungsten (a refractory metal), copper, silicon or cobalt based silicides, or forms of carbon such as carbon nanotubes or graphene, and may be layered itself as described in FIG. 3 of the parent and in at least incorporated U.S. Pat. No. 9,023,688. Each shield/heat sink layer 1318 may have a thickness range of about 50 nm to about 1 mm, for example, 50 nm, 100 nm, 200 nm, 1300 nm, 500 nm, 0.1 um, 1 um, 2 um, and 10 um. Shield/heat sink layer 1318 may include isolation openings alignment mark openings (not shown), which may be utilized for short wavelength alignment of top layer (donor) processing to the acceptor wafer alignment marks (not shown). Shield/heat sink layer 1318 may act as a heat spreader. Electrically conductive materials may be used for the two layers of shield/heat sink layer 1318 and thus may provide, for example, a Vss and a Vdd plane and/or grid that may be connected to the donor layer transistors above, as well may be connected to the acceptor wafer transistors below, and/or may provide below transferred layer device interconnection. Noise on the power grids, such as the Vss and Vdd plane power conducting lines/wires, may be mitigated by attaching/connecting decoupling capacitors onto the power conducting lines of the grids. The decoupling caps, which may be within the second layer (donor, for example, donor wafer device structures) or first layer (acceptor, for example acceptor wafer transistors and devices 1302), may include, for example, trench capacitors such as described by Pei, C., et al., “A novel, low-cost deep trench decoupling capacitor for high-performance, low-power bulk CMOS applications,” ICSICT (9th International Conference on Solid-State and Integrated-Circuit Technology) 2008, October 2008, pp. 1146-1149, of IBM. The decoupling capacitors may include, for example, planar capacitors, such as poly to substrate or poly to poly, or MiM capacitors (Metal-Insulator-Metal). Shield/heat sink layer 1318 may include materials with a high thermal conductivity greater than 10 W/m-K, for example, copper (about 400 W/m-K), aluminum (about 237 W/m-K), Tungsten (about 173 W/m-K), Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K). Shield/heat sink layer 1318 may be sandwiched and/or substantially enclosed by materials with a low thermal conductivity (less than 10 W/m-K), for example, silicon dioxide (about 1.4 W/m-K). When there may be more than one shield/heat sink layer 1318 in the device, the heat conducting layer closest to the TRL 1340 may be constructed with a different material, for example a high melting point material, for example a refractory metal such as tungsten, than the other heat conducting layer or layers, which may be constructed with, for example, a lower melting point material, for example such as aluminum or copper. The remaining SOI donor wafer substrate 1301 may now also be processed, such as smoothing and annealing, and reused for additional layer transfers. Upper isolation layer 1332 and/or lower isolation layer 1330 may include thicknesses of less than about 1 um, less than about 500 nm, less than about 400 nm, less than about 300 nm, less than about 200 nm, or less than about 100 nm.
As illustrated in
As illustrated in
As illustrated in
As illustrated in
An operations thermal conduction path may be constructed from the devices in the upper layer, the transferred donor layer and formed transistors, to the acceptor wafer substrate and an associated heat sink. The thermal conduction path from the FD-MOSFET transistor device and other devices on the top (second) crystalline layer, for example, raised S/D regions 1353, to the acceptor wafer heat sink (not shown, but may be placed on the backside of substrate 1300, may include source & drain contacts 1366, second device layer metal interconnect 1381, TLV 1380, a portion of heat sink/shield 1318, 1308, 1312, 1314, and acceptor substrate 1300. The elements of the thermal conduction path may include materials that have a thermal conductivity greater than 10 W/m-K, for example, copper (about 400 W/m-K), aluminum (about 237 W/m-K), and Tungsten (about 173 W/m-K), and may include material with thermal conductivity lower than 10 W/m-K but have a high heat transfer capacity due to the wide area available for heat transfer and thickness of the structure (Fourier's Law), such as, for example, acceptor substrate 1300. The elements of the thermal conduction path may include materials that are thermally conductive but may not be substantially electrically conductive, for example, Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K). The acceptor wafer interconnects may be substantially surrounded by BEOL isolation 1310, which may be a dielectric such as, for example, carbon doped silicon oxides. The heat removal apparatus, which may include acceptor wafer heat sink (not shown), may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.
Furthermore, some or all of the layers utilized as shield/heat sink layer 1318, which may include shapes of material such as the strips or fingers as illustrated in at least FIG. 33B and related specification sections of U.S. Pat. No. 8,450,804, may be driven by a portion of the second layer transistors and circuits (within the transferred donor wafer layer or layers) or the acceptor wafer transistors and circuits, to provide a programmable back-bias to at least a portion of the second layer transistors. The programmable back bias may utilize a circuit to do so, for example, such as shown in FIG. 17B of U.S. Pat. No. 8,273,610, the contents incorporated herein by reference; wherein the ‘Primary’ layer may be the second layer of transistors for which the back-bias is being provided, the ‘Foundation’ layer could be either the second layer transistors (donor) or first layer transistors (acceptor), and the routing metal lines connections 1723 and 1724 may include portions of the shield/heat sink layer 1318 layer or layers. Moreover, some or all of the layers utilized as shield/heat sink layer 1318, which may include strips or fingers as illustrated in FIG. 33B and related specification of U.S. Pat. No. 8,450,804, may be driven by a portion of the second layer transistors and circuits (within the transferred donor wafer layer or layers) or the acceptor wafer transistors and circuits to provide a programmable power supply to at least a portion of the second layer transistors. The programmable power supply may utilize a circuit to do so, for example, such as shown in FIG. 17C of U.S. Pat. No. 8,273,610, the contents incorporated herein by reference; wherein the ‘Primary’ layer may be the second layer of transistors for which the programmable power supplies are being provided to, the ‘Foundation’ layer could be either the second layer transistors (donor) or first layer transistors (acceptor), and the routing metal line connections from Vout to the various second layer transistors may include portions of the shield/heat sink layer 1318 layer or layers. The Vsupply on line 17C12 and the control signals on control line 17C16 may be controlled by and/or generated in the second layer transistors (for example donor wafer device structures such as the FD-MOSFETs formed as described in relation to
TLVs 1380 may be formed through the transferred layers. As the transferred layers may be thin, on the order of about lum or less in thickness, the TLVs may be easily manufactured as a typical metal to metal via may be, and said TLV may have state of the art diameters such as nanometers or tens to a few hundreds of nanometers, such as, for example about 250 nm or about 100 nm or about 50 nm. The thinner the transferred layers, the smaller the thru layer via diameter obtainable, which may result from maintaining manufacturable via aspect ratios. The thickness of the layer or layers transferred according to some embodiments of the invention may be designed as such to match and enable the most suitable obtainable lithographic resolution (and enable the use of conventional state of the art lithographic tools), such as, for example, less than about 10 nm, 14 nm, 22 nm or 28 nm linewidth resolution and alignment capability, such as, for example, less than about 5 nm, 10 nm, 20 nm, or 40 nm alignment accuracy/precision/error, of the manufacturing process employed to create the thru layer vias or any other structures on the transferred layer or layers. Design choices may determine if TLVs are formed thru transistor and back channel isolation regions 1385 and/or thru transistor-backchannel-TRL isolation regions 1386.
Formation of CMOS in one transferred layer and the orthogonal connect strip methodology may be found as illustrated in at least FIGS. 30-33, 73-80, and 94 and related specification sections of U.S. Pat. No. 8,273,610, and may be applied to at least the
Persons of ordinary skill in the art will appreciate that the illustrations in
A donor wafer that may include a pre-made TRL and other layers, for example, the structure as illustrated in
A donor wafer that may include a pre-made TRL and other layers, for example, the structure as illustrated in
A TRL may be formed directly on the acceptor wafer prior to a layer transfer of the material to form the next layer of devices and circuits. Structures and devices similar to those described and illustrated with respect to at least
As illustrated in
As illustrated in
A layer transfer demarcation plane (shown as dashed line) 1499 may be formed by hydrogen implantation or other methods as described in the incorporated references, and may reside within the SOI donor wafer substrate 1401.
As illustrated in
TPS protective structures to protect the desired regions of substrate devices may be constructed. These protective structures may be constructed using conventional and known in the art processing techniques. A substrate 1400, for example, a monocrystalline silicon wafer which may be thermally enhanced (a Thermally Enhanced Substrate—TES—as described in incorporated U.S. Pat. No. 9,023,688), of which a portion is shown in
The substrate 1400 may also have a backside surface 1404 that may be utilized to conduct processing heat (the heat source may be the layer being processed 1460 during device formation steps or portions of the equipment performing the processing such as IR lamps) from the substrate 1400 to a processing equipment cooling chuck or other thermal conduction/heat removal device, generally within the processing equipment.
The substrate device regions 1402 may have corresponding regions of substrate metallization 1408 and BEOL isolation 1410 interconnect layers, which may include copper or aluminum metallization materials and low-k dielectric inter-metal dielectrics (IMD) respectively. These may be constructed with known in the art BEOL processing.
As part of the construction of or separately from the formation of regions of substrate metallization 1408 and BEOL isolation 1410 interconnect layers, one or more shield layer or regions 1418 of metallization and isolation may be constructed. Details are in referenced applications. For example, the shield layer or regions 1418 metallization may include materials such as tungsten, copper, aluminum, grapheme, diamond, carbon, materials with a high thermal conductivity (>10 W/m-K) and an appropriate melting/softening point. The shield layer or regions 1418 may be constructed as a continuous slab across substantially the entire extant of the substrate area, or may be formed as regions.
The shield layer or regions 1418 may have terminations within the device die scribelanes. The shield layer or regions 1418 may include TLV landing pads wherein signals from the second layer of devices may connect either to a lower shield layer (for example shield layer or regions 1418) or to the interconnect layers or regions of substrate metallization 1408 and BEOL isolation 1410 interconnect or lower layer devices and circuits. The shield layer or regions 1418 may be thermally but not electrically connected or may be thermally and electrically connected to the substrate 1400 in a variety of ways.
The scribelanes, for example, scribelane with thermal via stacks and continuous shield 1420 and/or scribelane with thermal via stacks and cut shield layer 1422, may be substantially populated with thermal via stacks, which may be formed as thermal via stacks with via landing pads 1412 as each metallization and via layer of the regions of substrate metallization 1408 and BEOL isolation 1410 interconnect layers are formed, or the thermal vias in the scribelane 1414 may be formed as an etched and filled deep-via prior to the formation of the shield layer or regions 1418. Forming the thermal via stack after the regions of substrate metallization 1408 and BEOL isolation 1410 interconnect layers are formed may provide the use of a different BEOL isolation material, material that may be more thermally isolative and/or thermally stable, for the thermal via stacks than for the devices. The thermal vias in the scribelane 1414 may also be formed as one or a few to substantially fill (with appropriate stress relief structures) the scribelane with metal (thermally conductive) material (as much as practical given CMP dishing design rules) that may be part of the shield layer formation, or may be formed in a separate metal deposition and planarization step and may provide use of a more thermally conductive material than copper or aluminum to form the thermal vias in the scribelane 1414, for example, carbon nanotubes, Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K).
As dictated by design choices, the thermal vias (such as, for example, substantially all or a portion of thermal via stacks with via landing pads 1412 and/or thermal vias in the scribelane 1414, and/or in-die thermal via stacks 1416 and/or fill-in thermal paths 1417) may truncate in a dielectrically isolated or reverse biased junction electrically isolated connection to the substrate, or the thermal vias may truncate as a conventional forward biased junction or no junction substrate contact that may be thermally and electrical connected to the substrate. Processing, structure, and operational details are in referenced applications.
In-die thermal via stacks 1416 (may also be called in-die thermal via paths) may also be constructed over the regions of substrate devices 1402 (within die extant 1424) by forming a via stack that utilizes the interconnect structures of the regions of substrate metallization 1408 and BEOL isolation 1410 interconnect layers, with a via connection 1419 from the shield layer to a metallization layer/segment within the regions of substrate metallization 1408 and BEOL isolation 1410 interconnect layers. Via connection 1419 may be connected at a later step to electrically couple to the second layer devices (such as a TLV), or may primarily enable (as part of a thermal path) a thermal connection from substrate 1400 to shield layer or regions 1418. Details of this formation have been described in referenced applications.
Additionally, as a matter of design choice and may be controlled by an EDA design and placement algorithm, fill-in thermal paths 1417 may be added to a chip die design/layout to maximize local and die average thermal conductivity. The fill-in thermal paths 1417 may be formed anywhere on the die and from any level of the regions of substrate metallization 1408 and BEOL isolation 1410 interconnect layers to the substrate 1400, for example, metal 4 to substrate, metal 3 to substrate, and so on). Fill-in thermal paths 1417 may be added to a power or ground line as extra thermal connections to the substrate 1400, which may be electrically conductive or non-conductive due to design constraints. Fill-in thermal paths 1417 may be additional connections beyond what a conventional design or EDA tool may provide/construct. Fill-in thermal paths 1417 may be added to/formed in so called ‘white space’ within the device die, where there may be a path vertically and horizontally thru the regions of substrate metallization 1408 and BEOL isolation 1410 interconnect layers to the substrate 1400. Moreover, fill-in thermal paths 1417 may be formed from the CMP fill structures of one or more of the regions of substrate metallization 1408 and BEOL isolation 1410 interconnect layers.
As a matter of design choice, die seal 1406 (or die seal-ring) may be utilized as a thermal connection from either interconnect metal layers of the ring itself or the shield layer or regions 1418 to the substrate 1400.
Lower isolation layer 1430 may be deposited on top of shield layer or regions 1418 to protect and electrically and partially thermally isolate above and below and may include the bonding oxides for an ion-cut layer transfer process (for the case wherein TRL 1440 is formed on a donor wafer or substrate). Lower isolation layer 1430 may include designed-in voids (not shown), for example, by etch removal of portions of lower isolation layer 1430, thus forming regions of vacuum and/or gas and regions of the remaining material (for example, silicon oxide) of lower isolation layer 1430. The voids may be formed such that they extend fully or partially thru the entire thickness lower isolation layer 1430. The presence of the voids may reduce the average thermal conductivity of lower isolation layer 1430. The voids may include greater than about 5%, greater than about 10%, greater than about 20%, or greater than about 50% of the area and/or volume of lower isolation layer 1430, thus affecting the total average lower isolation layer 1430 thermal conductivity by greater than about 5%, or greater than about 50%.
Continuing with the
TRL 1440 formation may include deposition of an amorphous silicon or a polysilicon film, or a combination of the two. The deposition may utilize deposition techniques and processes that will not thermally harm the underlying metallization and/or dielectric BEOL isolation materials and structure, which for copper and/or aluminum metallization and low-k dielectrics are generally less than 400° C. temperature exposures. Techniques, such as sputtering, PECVD, etc., may be utilized. TRL 1440 may have a thickness that would accomplish the effective resistivity metric at the frequency(ies) of interest for the circuit design as discussed previously herein, and may be, for example, about 5 nm, about 10 nm, about 20 nm, about 30 nm, about 50 nm about 100 nm, about 200 nm, or about 500 nm thick.
TRL 1440 formation may include damaging the surface and a top layer of, for example, a deposited layer of silicon or a layer transferred silicon layer, or of lower isolation layer 1430, thus creating a damage layer (or regions if masked or etched) Damage may be caused by ion implantation, for example, such as an Argon ion-implant of about 1015 atoms/cm2. Plasma sheath, or plasma source ion implantation may be utilized. Preferably the implantation is configured to damage and not dope the layer, the objective being to provide as high an effective resistivity as possible. The dose and energy may be set to bring the damaged layer close to or completely amorphize the crystalline structure of the damaged layer or region.
TRL 1440 formation may include deposition of a silicon rich silicon oxide, a silicon rich silicon nitride, and may include deposition of a siliconoxynitride film. TRL 1440 formation may include deposition of a carbon or carbon rich film, for example, amorphous carbon, disordered grapheme, DLC (Diamond Like Carbon), disordered carbon nanotube mats, or SiCO. TRL 1440 formation may include some of the materials formed in creation of the TIL layer of incorporated U.S. Pat. No. 9,023,688 that have a high trap density, for example, forming nanoscale layered oxides or layers of disordered nanostructured materials.
TRL 1440 may be formed thicker than the desired end thickness, and then thinned by, for example, CMP processing or etching, to the desired end thickness, or for the purposes of smoothing the surface to enable improved bonding.
A pre-layer-transfer anneal may be performed as part of the TRL 1440 formation process or after the formation of TRL 1440, and may include a thermal anneal equal to or greater than the maximum temperature that the TRL 1440 would see during subsequent processing, for example, during the 3DIC stack processing, including layer transfer/bonding, transistor/device formation, activation anneals, and so on as long as the TRL formation temperature does not exceed the damage temperature of underlying structures, which may be less than about 400° C., or less than about 350° C., for copper and low-k BEOL materials and structures. If higher temperature metals and dielectrics are utilized in the construction of the acceptor substrate 1497, for example, tungsten and silicon dioxide, greater temperatures to form the TRL 1440 may be available. The ramp up and cool down rates may be carefully controlled depending on the type and condition of material in TRL 1440 to minimize cracking, outgassing effects, and other stress effects on the stack. The time of the pre-layer-transfer anneal at maximum temperature (the process exposure maximum) may be less than about 30 minutes, or less than about 1 hour, or less than about 2 hours.
Continuing as illustrated in
The SOI donor wafer substrate 1401 stack, such as surface 1482 (shown in
As illustrated in
Formation of transistors and devices on the layer being processed 1460 without harming the underlying structures of acceptor wafer 1497 may proceed with a variety of methods. For example, as disclosed in at least FIGS. 33 and 46 and related specification sections of incorporated by reference U.S. Pat. No. 8,574,929, transistors may be formed with an integrated heat shields and optical annealing. For example, formation of CMOS in one transferred layer and the orthogonal connect strip methodology may be found as illustrated in at least FIGS. 30-33, 73-80, and 94 and related specification sections of U.S. Pat. No. 8,273,610, and may be applied. Low temperature and/or heat shielded 3D stacking transistor formation techniques may help preserve the effectiveness of the trap rich layer by not significantly annealing the defects and traps.
The exemplary flow herein
Processing similar to the processing and transistor/device formation such as, for example, illustrated in
Formation of CMOS in one transferred layer and the orthogonal connect strip methodology may be found as illustrated in at least FIGS. 30-33, 73-80, and 94 and related specification sections of U.S. Pat. No. 8,273,610, and may be applied to at least the
Persons of ordinary skill in the art will appreciate that the illustrations in
The acceptor wafer herein or in the incorporated references may include a top or near top low-k dielectric layer or layers, as part of the BEOL processing and formation. The low-k dielectrics utilized may have a lower physical strength, for example as represented by its Young's Modulus, than desired to enable a defect free bond and/or cleave of a transferred layer. Use of a TRL and/or a TIL may also provide a weaker structure than desired. Preparation for bonding and/or cleaving may include structures and/or materials which include the purpose of enhancing the physical strength and/or cracking resistance of the stack structure, especially the bond plane and the acceptor wafer BEOL layers. The top BEOL layer or layers dielectrics may utilize a stronger dielectric material, for example fluorinated oxides or undoped oxides. Furthermore, strengthening regions may be placed within the BEOL, TIL, TRL and/or acceptor wafer to stiffen and/or mechanically strengthen the 3DIC structure. Strengthening regions may provide smaller regions of the softer and weaker low-dielectrics and may mitigate cracking and fracture initiation and/or propagation. Strengthening regions may include materials that have a higher Young's modulus than the majority of the material within acceptor wafer BEOL, or any TIL or TRL. For example, strengthening regions may include, for example, silicon oxide, which has a higher mechanical strength than, for example, most low-k dielectrics, such as SiCO, aerogels and silsesquioxanes. Strengthening regions may include less than about 0.5%, less than about 1%, less than about 2%, less than about 5% or less than about 10% of the area and/or volume of BEOL, or any TIL or TRL. Strengthening regions may include the scribelanes of the wafer, the scribelanes may be processed with stiffer material during its formation as part of the process flow, or the material within the scribelane may be removed and replaced with a stiffer material before layer transfer of a layer above. Moreover strengthening regions may be designed to be aligned to the scribelanes and may underlap the full extent of the scribelanes by an underlap, which may be the layout distance between the acceptor die seal and the edge of the closest strengthening regions. For example, the underlap may have the dimension of 0 or at least about 1 um, at least about 5 um, at least about 10 um, at least about 20 um, at least about 50 um. A similar strengthening strategy may be employed on a second layer of device and circuits when bonding and cleaving a ‘third’ layer on top of the second layer. A portion of the strengthening regions may be replaced after the layer transfer. More teaching on strengthening regions may be found in at least incorporated reference U.S. Pat. No. 9,023,688.
An embodiment of the invention may include various modification of the process flows described in U.S. Pat. No. 8,273,610 in relation to at least FIGS. 70A-70F, 81A-81F, 82A-82G, 83A-83L. These flows may start with a donor wafer which may go through a normal process flow to form a circuit layer which we could call stratum-3. The described flow suggests the use of a ‘gate-replacement’ flow, also called ‘gate-last’ flow for transistor formation, although other structures/techniques may be utilized. The stratum-3 layer would be first transferred, for example, using ion-cut, to a carrier wafer/substrate and then transferred on top of a target wafer (also called base or acceptor wafer/substrate in some circumstances). Once on top of the target wafer the dummy oxide and the dummy gate could be replaced with the gate last gate stack of, for example, hafnium oxide and metal gate. This flow provides the advantage that any damage caused by the ion-cut would be removed by the replacement step. In an embodiment the replacement oxide and gate could be made with silicon oxide and poly gate which are in most cases cheaper and easier to process. So the repair of the ion-cut potential damage is not a condition of having high-K metal gate process. It should be noted that once stratum-3 is bonded on the target wafer the temperature limitation, generally restricted to less than 400° C., due to the underlying structure does exist. Therefore, a process should be used for the deposition of a high quality gate oxide at the metallization compatible temperatures. Furthermore, the dummy gate stack may be replaced after the ion-cut by other types of gate stacks; for example, such as a grown or deposited oxide/dielectric with a polysilicon/polycide electrode, or a grown or deposited oxide/dielectric with a tungsten electrode. Such processes have been presented in at least U.S. Pat. No. 8,273,610.
While ion-cut is a good option for cutting a less than a micron thick layer from the donor wafer transferring it to the carrier wafer, other layer transfer options do exist. It also should be noted that the transferred layer could had been fully processed first to include transistors and isolation, or alternatively the transferred layer might be just a mono-crystal layer, giving up stratum-3 in the layer transfer.
In the following we outline few alternative process flows to ion-cut available for transferring a layer from a donor wafer to either a carrier wafer or to the final target wafer:
Some of the above techniques are better used for a thicker layer of few microns, generally due to the variability of depth of the ‘cut’ of the transfer process. So after the transfer layer has been bonded to the carrier wafer or the target wafer and cut off the donor wafer, an additional process or processes could be used to thin the transferred layer further to about one of the following device thickness targets: about 20 nm, or about 50 nm, or about 100 nm, or about 200 mn or about 400 nm. Alternatives for such additional processing could include the following:
In addition some anneal might also be used to further treat the transferred layer for future step.
It should be noted that in most of these alternatives the donor wafer could be treated after the layer transfer to repair the top layer surface and prepare the donor wafer for additional steps of layer transfer.
The secondary ion-cut could be tuned to overcome some limitation of the first cut techniques such as un-even thickness of the transferred layer. A measurement tool could be used to create a depth profile of the wafer surface. The depth profile could be then transfer to an ion implanter tool which will adjust the ion (H+) implant depth accordingly. Thus a precise and well controlled ion-cut damage layer, or layer transfer demarcation layer, may be formed in the transferred layer.
This combination of cut techniques could allow for high quality thin (20 nm-200 nm) layer transfer. This could be done to a layer that has gone through process of complete or partial front end process of stratum-3 without damaging the sensitive transistor formation of stratum-3.
To assist the layer depth measurement, the bonding layers could be specially engineered to enhance the accuracy of such depth profile measurement. An example for such could be layering silicon oxide and silicon nitride to form a reflective layer tuned to reflect a laser wavelength of the measurement tool. Or including a metal layer if the reflective layer is on the target wafer. In U.S. Pat. No. 4,827,325, incorporated here by reference, such reflective layering is presented.
The donor wafer/substrate with a detach and/or etchstop layer or structure may be processed in the manufacturing flow and facility of the device stack manufacturer, or may be constructed at a wafer supplier and bought by the wafer stack manufacturer as a ‘pre-made’ substrate. After use in the stack formation flow, the wafer stack manufacturer may perform reclaim or recycle processing on the used donor wafer/substrate or may deliver it back to the wafer supplier for reclaim and/or recycle—reprocessing may include a fresh detach and/or etchstop layer or structure in the donor wafer/substrate. For example, a wafer supplier, such as, for example, SunEdison, may process a prime silicon wafer with a porous detach layer covered on one side by an epitaxial layer of silicon made to order (thickness, doping, etc.) for the specific wafer stack flow and device desired by the wafer stack manufacturer. Thus forming a Si-dDS, a silicon topped detachable donor substrate. The wafer stack manufacturer, for example Intel or Samsung, utilizes the Si-dDS in a stack process flow with a detach step, and then returns the used Si-dDS to SunEdison for recycle or reclaim.
In U.S. Pat. No. 8,273,610, FIG. 81(A to F) transferring a full process stratum-3 is described—7032, 7028, 7026, 7030, 7008, from a donor wafer 8100 to a target wafer 808.
In FIG. 82 of U.S. Pat. No. 8,273,610 transferring the stratum-3 layer—8202 off a donor wafer 8206A, first to a carrier wafer 8226 and then from the donor wafer using a second ion-cut 8218 to the target wafer 808, is described.
In U.S. Pat. No. 8,273,610, FIG. 83(A to K) the transfer of a stratum-3 layer—8302+ from a donor wafer 8300A first to a carrier wafer 8320, then process stratum-2—8300+ on the other side of the transferred layer while it is on the carrier wafer 8320 is described.
And then from the carrier wafer the layer comprising stratum-2 and stratum-3 (as dual strata 400) is transferred on to the target wafer 808 using a second ion cut 8321.
The ion-cut may be associated with defects caused by the ion implant process. The defects may be repaired with high temperature processing, such as previously described at least herein and in incorporated references, for example, thermal treatments such as RTA, RTO, furnace annealing, laser annealing. Ion-cut damage to sensitive areas may be avoided by backside ion-cut, by screening the H+(and any co-implant) from the sensitive device areas (gate, source rain junctions, etc.) such as described in at least FIG. 70B-1 of incorporated reference U.S. Pat. No. 8,273,610. The gate stack may be replaced after the ion-cut ion-implant, such as described herein and in incorporated references. For example, the dummy gate stack may be replaced by HKMG stack or by an oxide dielectric and poly/polycide gate electrode. The ion-cut ion implant may also be performed prior to the gate formation if the subsequent thermal cycles allow such, to avoid premature cleave/release.
Furthermore, alternative cut techniques presented before in respect for the transfer layer from the donor wafer could be used here as well.
Yet the transfer from the carrier wafer opens up more options since:
The release from the carrier wafer may depend on the choice of process and may include any of steps such as: anneal step, mechanical pulling or force application from top and/or mechanical side stress, water jet to form side stress, laser side stress, knife edge side stress, etching or cooling step (thermal shock or thermal exposure), perforated carrier and selective etchant release as described and referenced herein. The release procedure may include providing release ports in the transfer layer scribelanes and/or interior to each die. The release procedure may utilize a frontside release, may include providing frontside release ports in the transfer layer scribelanes and/or interior to each die. Release utilizing an oxide layer may include a wet HF, vapor-phase HF, a MEMS style Bosch DRIE etch (alternating SF6 and C4F4 plasma etches). Silicon release etches on the porous silicon may include KOH, XeF2 and/or EDP or TMAH. Substances such as supersaturated CO2 may help with a stiction free release as well as precise design and spacing of the release etchant ports.
Furthermore, the carrier wafer/substrate may include etch stop indicators pre-defined (LTDP-Layer Transfer Demarcation Plugs) as is been described in relation to FIG. 150 of incorporated U.S. Pat. No. 8,273,610. This may be used in combination with other release techniques; for example, the Siltectra stress or Solexel laser damage layer. The LTDPs may assist a ‘rough’ cleave technique (such as the Solexel stress cleave) to have an endpoint that may form a precisely defined, flat and parallel to the device surface cleave or allow a cleanup CMP/etch after a ‘rough’ cleave to have the same.
The carrier wafer/substrate with a detach and/or etchstop layer or structure may be processed in the manufacturing flow and facility of the device stack manufacturer, or may be constructed at a wafer supplier and bought by the wafer stack manufacturer as a ‘pre-made’ substrate. After use in the stack formation flow, the wafer stack manufacturer may perform reclaim or recycle processing on the used carrier wafer/substrate or may deliver it back to the wafer supplier for reclaim and/or recycle—reprocessing may include a fresh detach and/or etchstop layer or structure in the carrier wafer/substrate. For example, a wafer supplier, such as, for example, SunEdison, may process a test or prime silicon wafer with a porous detach layer covered on one side by a thin epitaxial layer of silicon and a thick layer of oxide, ready for ox-ox bonding. Thus forming an Ox-dCS, an oxide topped detachable carrier substrate. The wafer stack manufacturer, for example Intel or Samsung, utilizes the Ox-dCS in a stack process flow with a detach step, and then may return the used Ox-dCS to SunEdison for recycle or reclaim.
Vertical isolation between Stratum-2 and Stratum-3 devices may be a matter of design, layout and process flow choices. An oxide layer and/or regions may provide some isolation between stratum-2 devices from stratum-3 devices, and may include process that may include, for example, an SOI donor wafer/substrate, the donor wafer/substrate may start as a bulk silicon wafer and utilize an oxygen implantation and thermal anneal to form a buried oxide layer, such as, for example, the SIMOX process (i.e., separation by implantation of oxygen) or an MLD-SIMOX (modified low dose SIMOX) approach such as DK Sandana, or a double layer transfer with oxide deposition in between to form dual strata layer. Furthermore, for example, a pn junction may be formed between the vertically stacked transistors and may be biased. Also, a silicon-on-replacement-insulator technique may be utilized for the first formed dummy transistors wherein a buried SiGe layer may be selectively etched out and refilled with oxide, thereby creating islands of electrically isolated silicon, similar to the 2D process known as SioN—‘Silicon on Nothing’. Vertical isolation may be obtained somewhat naturally from a structure in one or more of a stratum-2 or stratum-3 device, for example, the buried back-bias layer/regions of a Zeno Semiconductor NVM cell, a deep implanted layer or region, biased or un-biased as required between stratum-2 and stratum-3. Vertical isolation may occur due to placement of stratum-2 and stratum-3 devices, for example, a layout rule could require no high speed logic over another high speed logic cell or region, but may allow a memory to be over the logic cell or region.
Additional embodiments are device structures that leverage this front and back layer processing to enable effective device structures including vertical device options. Vertical devices may include, for example, memory (V-NAND, V-RRAM, Bi-ristor) as well as devices such as Gate All Around transistors, vertical junction-less transistors, nano-wire, CNT, vertical bipolar, and so on. Some vertical structures and devices may be found in at least incorporated reference U.S. Pat. No. 8,273,610.
After the pre-injection, epitaxial growth may be carried out at temperatures of about 90˜1000° C. The epitaxial layer illustrated as epi layer 2536 in
The ELTRAN based donor wafer 2531 could be then provided as a substrate for a standard processing flow. An important advantage is that the ELTRAN donor wafer could withstand the high temperature of a conventional front line process and not detach. There are multiple process steps in a typical conventional front-line process with processing temperatures ranging from 500° C. to about 1000° C. in which transistors and their contacts are formed.
Such a donor layer, for example donor wafer 2651, could be transferred onto a carrier wafer for further high temperature processing as will be presented later or be prepared by adding contacts and at least a first metal for transfer onto a target wafer, as described below and in
The donor wafer 2761 could be now flipped and bonded on top of the target wafer 808 as is illustrated in
The dual porous layers helps to achieve a very clean split as there border between the two porous layers tend to be a natural cut-plane. The residue of porous structure could be etch away, using a solution containing a mixture of HF, H2O2 and H2O. Once a certain incubation period has passed, the porous silicon is etched virtually all at once. The selectivity of this etching is as high as 100,000×, meaning that the etching does not cause significant degradation of the uniformity of the thickness of the remaining layer. This means that the donor wafer 2783 could be recycled for reuse and the transferred layer 2773 is now ready for future processing as is illustrated in
In addition to the removal of the porous layer by etch a hydrogen annealing could be used to further smooth the top layer surface.
Now additional interconnection could be added on top of 3D structure 2791. First through layer vias need to be formed to provide access to the transistors in the transferred layer 2793 which we could call Stratum-2, and to the underlying target wafer 808 circuitry. The prefabricated metal 1 of Stratum-2 2796 may be designed so these through silicon vias could be made without interfering with transistors of Stratum-2 (for example, similar to 2092 in FIG. 20 and 1947/1967 in FIG. 19J of the parent application).
Another alternative is to transfer the donor layer first to a carrier wafer and then from the carrier wafer to the target wafer. The ELTRAN process could be used also for the carrier wafer.
Now the donor wafer would be removed leaving the donor layer 2813 on the carrier wafer as illustrated by
The split and the removal of the porous layer and cleaning the surface could be done similar to presented before in respect to
The described flow allows the processing of the additional stratum of the donor layer 2843 to be done in a conventional front line without limiting the frontline processing temperatures. The transistor formation, STI and contact formation are done before the donor layer is transferred on top of the copper or aluminum interconnect which might be part of the target wafer 808 interconnect. The use of a precision bonder with an ELTRAN based layer transfer as presented provides monolithic 3DICs with very rich vertical interconnect. The donor layer 2843 thickness could be as thin as about 10 nm or thicker as about 20 nm or 80 nm or even few hundreds nm, such as, for example, about 100 nm or 200 nm. The through layer via through it may have a small diameter such as about 20 nm, 50 nm, 100 nm or even larger than 200 nm. This flow could integrate in many of the benefit of other flows described herein or incorporated and related patents.
Additional alternative is to use additional process steps to make the carrier wafer more resistive to an undesired split while the donor wafer is being split off.
Accordingly for the processing of the carrier wafer 2801 after forming the porous layers 2802 and 2804 the step of forming the oxide bonding layer 2806, oxide 2956 will include covering the carrier wafer side walls with oxide 2958 as illustrated in
Now the bulk donor wafer may be split off leveraging the porous layers 2962 and 2964 while the carrier wafer 2965 may be protected by its side walls 2968. The porous residue could be removed and cleaned similarly to the discussion before making the donor wafer reusable for future use.
After the porous silicon layers 2964 and 2962 have been removed by selective etching, a heat treatment in a hydrogen-containing atmosphere could be carried out for smoothing the back-side of the donor layer 2963, now on top/facing up. The resulting structure is illustrated in
The protective side walls could be now removed in preparation of transferring the donor layer 2963 onto the target wafer 808 as has been illustrated in relation to
Yet in another alternative while the donor layer is still on the carrier wafer the other side of the donor layer could be processed to form an additional stratum of transistors as illustrated in
It should be noted that attention should be given for proper interaction between stratum-2 and stratum-3. This will depend on the type of transistors and circuits built on both strata. Many options exist including reverse diode of the medium in between stratum-2 and stratum-3 to provide vertical isolation between strata 2 and 3 transistors/devices, use of back-bias of one stratum as isolation from the other, use of Fin-FET transistors and others. This had been described in detail elsewhere herein.
The donor wafer could then be transferred on top of the target wafer 808, splitting off the carrier wafer 2985 for reuse as was described before in relation to
In this alternative flow the epitaxial step for formation of the first epitaxial layer 2536 could be used to build a thick first epitaxial layer 3020 as is illustrated in
Forming second porous layer 3024 could be done using similar processes described before in respect to the formation of upper layer of porous silicon 3014, which may contain microscopic pores of diameter of a few nm, and lower layer of porous silicon 3012 for which the pore diameter may be a few (or more) times greater. In such case care needs to be made that the early lower layers, such as in this illustration lower layer porous silicon 3012 and upper layer porous silicon 3014, are not fully oxidized. This will allow the current flow to layer 3020 from the back, thus enabling an efficient anodization process, which may involve passing a current through a solution of HF and ethanol with the base single-crystal silicon wafer 3010 as the anode. Alternatively vias could be formed connecting the two crystalline layers, for example, the illustrated base donor substrate 3010 and first epitaxial layer 3020. Additionally, side wall vertical connection may be temporarily made with conductive attachments, and/or semi-permanent thru conductive side wall placed vias/regions (with appropriate isolation coverings), which may double as sidewall cleave protection regions to prevent unintended cleaving.
Yet, another alternative is to use alternative methods of porous layer formation processing. Such processes could be using “stain Etching Process” as presented in article titled: “Electrochemical Behaviour of Porous Silicon Layers Prepared by Stain Etching Processes” by F. A. Ben Handera at al. Journal of New Materials for Electrochemical Systems 6, 129-135 (2003), incorporated herein by reference.
As illustrated in
The second epitaxial layer 3032 could now be processed to form transistors. As illustrated in
This could make the structure illustrated in
The process flow of
As illustrated in
In some applications two layers of transistors, for example Stratum-2 and Stratum-3, are sufficient and then the target wafer/base wafer, such as at least 808 herein and in incorporated references, could be replaced with simple carrier wafer. In such case it might be useful to process 2 or more layers of interconnection for Stratum-2 as illustrated with respect by Stratum-2 2988 of
As illustrated in
As illustrated in
The new transistor process could be done using standard processing; however, the thermal budget needs to be considered as to its effect on the earlier processed transistor layer of second epitaxial processed layer 3033. This is similar to what was presented before. Additional measures to reduce the thermal budget impact of the processing of Stratum-2 on the preprocessed Stratum-3 can be found in at least U.S. Pat. No. 9,023,688 titled NOVEL METHOD OF PROCESSING A SEMICONDUCTOR DEVICE, of the incorporated references. The isolation layer 3063 could be designed to be thick enough and/or of proper material composition to have extremely low thermal conductivity (for example, the TIL of U.S. Pat. No. 9,023,688). And if care is taken to construct the carrier wafer 3065 with good thermal conduction from Stratum-3 to a heat removal apparatus (for example on the backside of carrier wafer 3065) during the high temperature processing steps of Stratum-2, then the heat impact on Stratum-3 could be highly reduced, and especially so for short high temperature Stratum-2 processing steps.
As illustrated in
As illustrated in
As illustrated in
As illustrated in
U.S. Pat. No. 7,772,096, incorporated herein by reference, teaches the use of multiple layers with alternating levels of porosity for the formation of high quality silicon over oxide. The following inventive embodiments extend these concepts for the formation of multiple strata ICs.
As illustrated in
As illustrated in
The third epitaxial layer 3236 could now be processed to form transistors. As illustrated in
The subsequent processing of the structures of
The thicknesses of the layers first epitaxial layer 3222, second porous layer 3224, second epitaxial layer 3232, third porous layer 3234, third epitaxial layer 3236 and third epitaxial processed layer 3235 could each be designed independently as desired and range from a few nanometer (nm) to tens of micrometers (μm); for example, about 5 nm, about 10 nm, about 20 nm, about 100 nm, about 200 nm, about 500 nm, about 1 um, about 2 um, about 5 um, about 10 um, about 20 um, or about 50 um. In many cases the layers third porous layer 3234 and third epitaxial layer 3236 could be made relatively thin. Having the layer third porous layer 3234 thin to about 20 nm could be effective for the back bias to affect N type transistors 3238 and P type transistors 3239 at voltages in the range of −3 volt to +3 volt. Having the third epitaxial layer 3236 at about 10 nm or 20 nm could be a fit for ultra-thin body FD SOI.
Another alternative not illustrated here is to repeat the process to form an additional porous layer and one more crystalline layer, so that the one back bias layer could serve Stratum-2 transistors and devices and different back bias layer to serve Stratum-3 transistors and devices.
The formation of a back bias p-Well and n-Well in the second epitaxial layer 3232 could be done by patterning and ion implant. It could be processed after formation of the third porous layer 3234 illustrated in
In another alternative second epitaxial layer 3232 could include a high-resistivity layer to support RF circuits in third epitaxial processed layer 3235 of the Stratum-3. A high-resistivity layer to support RF circuits may be effective if the true electrical resistivity sensed by a co-planar waveguide (CPW) line is higher than about 1 kohm-cm, or higher than about 3 k-ohm-cm. This may result in a CPW attenuation versus frequency response that is substantially similar to the response of a CPW on a quartz substrate. There are a variety of ions that could be implanted to increase second epitaxial layer 3232's resistivity such as hydrogen, oxygen, nitrogen and others. The implant could be annealed before the formation of transistors on third epitaxial layer 3236 to simplify managing the thermal budget for Stratum-3 transistors such as N type transistors 3238 and P type transistors 3239 (also see previous discussions of integrated TRL).
As illustrated in
It some cases it could be desirable to form into discrete regions of second epitaxial layer 3232 different zones such as back bias and/or high resistivity. These variations could be made by patterning and implant followed by annealing as is well known in the art.
It should be noted that while silicon is by far the most common material used in semiconductor devices and accordingly the presented flows detailed for silicon based processing could be implemented for other types of crystals or even allow a mixing of different crystals as is known in the art in epitaxial processes. A list of other crystals and materials that could be used or mixed could be found, for example, in Handbook of Porous Silicon published by Springer International Publishing Switzerland, 2014, incorporated herein by reference.
Additional 3D flows may be a modification of the flows presented before.
As illustrated in
As illustrated in
As illustrated in
As illustrated in
As illustrated in
The stratum-3 interconnection 3338 of structure 3370 may be relatively less effective due to the use of refractory metal, due, for example, by the higher resistivity of tungsten than the resistivity of aluminum or copper. Yet, for many functions that could be built on Stratum-3 this will be very acceptable. These applications may include: image sensor, device input output circuitry, SerDes (Serializer and Deserializer device to device interconnect circuitry), local interconnection including support circuits for stratum-2 such as buffer and repeaters.
In many applications it is desired to thin the finished wafer prior to dicing. A process called back grinding could be used to thin the finished structure 3370; for example, about 700 μm thinned to about 200 μm or to 50 μm.
The use of a final carrier provides an attractive alternative to the conventional technique.
The final substrate layer 3406 could be formed by an epitaxial process, or by CVD or by other techniques of deposition or layer transfer, for example, ion-cut, SOI, porous layer.
The final substrate layer 3406 could be designed to provide functions other than mechanical support to the two strata structure 3408. The following are some of these optional functions:
These flows where the multiple strata structure such as two strata structure 3408 could be transferred to a final carrier or final substrate opens up additional flexibilities. There are strict requirements for material thickness and heat tolerance for the carrier wafer to support conventional semiconductor processing of these device layers and their interconnections. In some situations it might be advantageous that once done with these wafer processing steps the strata structure would be transfer to a final carrier or final substrate that is not design to support semiconductor processing but rather to support device performance; for example, for heat removal, frequency stabilization, emf/emi shielding, or connectivity to external devices. An additional advantage of this approach is the ability to allow the processing of these functional final carrier or final substrate to be independent from the processing of the semiconductor devices, thus allowing for far better flexibility, efficiency, costs and overall shorter processing or time-to-market.
The functional carrier 3585, being un-constrained by the need to support conventional semiconductor processes, opens the opportunity to construct it so to support other functions, such as:
This flexibility of the functional carrier could be advantageous to the multiple strata presented or to any structure developed on a wafer using semiconductor processing including simpler devices with a single Stratum or a far more complex device using many stratums of circuits.
Strata structure 3500 could utilize Stratum-2 and Stratum-3 for various electronic circuits and other functions. In some applications one of those stratums could be used to carry logic functions while the other could carry memory functions. In general, memory function may include arrays of bit cells with decoder circuits and other peripheral functions along the array sides. From a lithography point of view, manufacturing layers that are made of repeating functions are clearly easier and lower cost to process. In memory the bit cells are a repeating function while the decoders and other peripheral function are not part of these repeating structures. In U.S. Pat. No. 8,395,191, which is incorporated herein by reference, in respect to at least FIG. 84, a solution is presented to allow leveraging this repeating structure by allocating the bit cells to one of the strata and constructing the decoders and other peripheral function on the other stratum. Such could be attractive to apply to a strata structure such as strata structure 3500. In general, as presented in respect to FIG. 84 of U.S. Pat. No. 8,395,191, the output of the decoders are the bit-lines—8462 of FIG. 84G—and the word lines—8452 of FIG. 84F. These word lines and bit lines are high density lines for each row and column of the bit-cell array and would form a substantive connectivity blockage if they would be needed to be routed through an interconnect fabric. Accordingly, strata structure 3500 allows a designer/layout engineer to avoid such blockage by having the interconnect of Stratum-2 face down while the interconnects of Stratum-3 are facing up. Thus, if, for example, Stratum-3 is used for the bit-cell array and Stratum-2 is used for the logic and the decoders, then the connection from the decoders of Stratum-2 to the bit cell array on Stratum-3 could utilize the through strata connections TLVs 3076 sparing the blockage to the routing fabric of Stratum-2.
Another effective use of strata structure 3500 could be for programmable logic fabrics. In U.S. Pat. No. 8,395,191 a programmable logic fabric is presented such as in at least
The unique form of strata structure 3500 could allow having the programmable logic built in stratum-2 to be programmed by programming transistors which may reside in stratum-3. Stratum-3 may also include the routing programming transistors which would program the configurable interconnect fabric built in the routing fabric of stratum-3.
In some applications it might be desired not even to construct a stratum-2 but rather leverage a derivative of the presented flow to construct interconnection both underneath the transistor layer and on top of it in order to further improve the overall transistor connectivity.
In some applications there might be a preference to use an ion cut technique to form the monocrystalline layer on top of the porous layer rather than use the epitaxial process. Since the structure could withstand high temperature processes, such flow could provide a high quality thin monocrystalline layer on top of the porous layer after performing high temperature annealing to repair damages caused from the ion cut process.
Many of the multiple strata porous based process flows may require that the structure comprising porous layers would withstand semiconductor processing temperatures and physical/mechanical stresses and that the cut step will affect only the target porous layer and not other porous layers that might be included in the structure. The presented flows did attend to this issue and the following additional alternatives are presented to provide additional alternatives for such. To simplify the description we may use the term ‘porous layer to be cut’ while actually referring to a dual porous layer structure such as upper porous layer 2642 together with lower porous layer 2644 or lower layer of porous silicon 3012 together with upper layer of porous silicon 3014.
Another alternative process for forming the dual cut porous layers lower layer of porous silicon 3012 and upper layer of porous silicon 3014 has been proposed in IBM patent application Ser. No. 10/685,636, incorporated herein by reference. In this alternative the two layers would have two different doping levels formed by processes such as ion implant and activation prior to the anodization step.
Accordingly in respect to the wafer diameter, these processed layers are extremely small. The following description of some of these alternatives will use an illustration of a very small part of the wafer structure 3700—indicated by the ‘bubble’ 3710.
Another alternative is illustrated by
These methods could be used to protect a single porous layer or multiple of porous and epitaxial layers such as one illustrated in
This protection could be strengthened if required by either a thicker side wall or the addition of strengthening posts in a non-functional area of the wafer surface such as the dicing streets. Since in some of the presented flows the porous layers are used to form isolation, such as second porous layer 3024, between mono-crystalline layers, such as remaining monocrystalline layer 3022 and second epitaxial layer 3032, and are not planned to be cut but rather be part of the device structure, in these cases such extra mechanical strengthening might be a useful option.
In other cases or variations of these flows, a porous layer may be designed for providing a cut/cleave and such cut might be done while the structure includes at least one additional porous layer that should be protected from an accidental cut. Accordingly it would be desired to remove the side wall protection only from the porous layer to be cut while still keeping side wall protection for the other porous layer.
One way to achieve such is by constructing different material composition of side wall protection to these different potential porous cut layers. The formation of side walls as presented before including in
To illustrate such optional technique we refer to the flow illustrated in
Many other variations of these steps of deposition and removals could be used to support proper implementation of these process flows.
Alternatively special equipment could be constructed to support selective removal of the cut layers side wall protection. Such equipment could be integrated to support the following cut process so it would function as a complete selective side wall removal and porous cut. Such equipment could use a focused laser beam. Laser beams are already being used for scribing and dicing application and there is good industrial knowledge of focused laser cut techniques for semiconductor materials such as silicon, poly silicon, silicon oxide and silicon nitride and other materials useful for side wall protection.
Alternative technique to assist the porous cut is presented in IBM U.S. Pat. No. 6,774,010, incorporated herein by reference. The technique is called freeze-thaw technique in which a fluid, such as water, is introduced into the pores and expanded by freezing A fluid which expands in volume upon freezing (or solidifying) is then introduced into the pores of porous layer. The fluid may be introduced in liquid form (by immersing the bonded assembly in the fluid) or in gaseous form (by exposing the bonded assembly to vapors of the fluid). A preferable fluid is liquid water (H2O). The structure is then cooled to freeze (or solidify) and expand the fluid in porous layer, thus fracturing the porous cut layers.
Additional alternative to help directing the cut to the desired porous layer while avoiding the cut off porous layer that either are not to be cut at all or to be cut at later step of the process is to adjust these layer thickness. The porous formation process is very flexible and allows an order of magnitude difference in thickness. For example, the layers that are not to be cut could be processed to have thickness in the range of 10-400 nm, the layer that should be cut first could be processed to have a thickness in the range of 6-20 μm, and the layer to be cut afterward could be processed to have a thickness in the range of 1-4 μm.
Additional alternatives for the formation of structures like the one illustrated in
Another alternative to construct multilayer porous structure alternating the level of porosity is by altering the level of illumination especially for N type silicon.
And is some applications those techniques to form different level of porosity could even be combined to achieve even higher porosity variation or for other reasons. It should be obvious to people in the art that while one method is been suggested in respect to formation of a multilayer structure other method or mixing between these techniques could be preferable in some cases. There many possible variation for these process flows.
Alternatively a top layer of high resistivity may be formed by implant or any other method presented herein before or known in the art to be followed by forming oxide layer on top and then transferring an additional layer on top using an ion-cut technique or other layer transfer method. Combining some of the porous base structures with an ion-cut based layer transfer could be applied to any of the presented structures as an alternative way to construct stratum-2 or stratum-3.
Alternatively, the alternating layers could have alternating porosities of other levels such as layers 4132, 4136, 4140 with porosity of less than 30% while layers 4134, 4138, 4142 with porosity over 50%, or layers 4132, 4136, 4140 with a porosity of less than 55% while layers 4134, 4138, 4142 with porosity over 65%, or other alternating level of porosity based on the target application and process choices.
The high temperature step used for the epitaxy of layer 4140 or an additional annealing step or the use of laser assisted annealing step would further reduce the porosity of the low porosity layers 4132, 4136, 4140. The laser could be made to focus on individual layers to further enhance the multilayer structure.
The structure 4124 could further processed to further improve the isolation of the isolation layer 4136, 4138 and 4142. Such could be done by etching holes in the structure for future lateral isolation or through structure vias and then further oxidized or etch the isolation layers. The large difference in porosity could enable control the isolation without losing the functionally of the layers 4132, 4136, 4140. Such holes could also be used to further enhance the layer functionality by heating and use of the proper gas materials.
Similar formation of such a multilayer structure could also be used for 3D memories in line of what was presented in U.S. Patent Application Publication No. 2017/0229174. For memory applications it could be desired to open a side etch window which could be part of the process for side gate formation, and then etch some of the porous layers and follow with epitaxial step for further improving the 3D memory future transistor channels.
These flows include first forming a multilayer structure and then processing the multilayer structure to construct multilayer IC (Integrated Circuit) devices. The multilayer structure could be formed by a vendor who is in the business of providing semiconductor substrates and/or raw wafers, while the processing of the various strata could be done by a fab (fabrication facility) which specializes in semiconductor integrated circuit manufacturing.
It could be preferred not to form the upper epitaxial layer 4180, but rather to leave it to the fab. At the fab instead of forming a generic epitaxial layer 4180, a pattern could be used to perform the epitaxial only in locations targeting transistors or other specific devices. This could be attractive, especially for fin-type transistors, since the conventional FinFET process includes an epitaxial step. An additional benefit could the fact that an epitaxial formation of the fin may naturally form a defect free fin, as defects prorogate at 45 degrees such that above the height of the fin width substantially all of the defects have already propagated to the wall, thereby leaving the upper part defect free. Such could help further reduce the cost of the multilayer structure.
In U.S. Pat. No. 8,273,610 as related to at least FIG. 8A, a multi-strata FPGA device is illustrated. It has a first stratum called ‘Foundation’ 814 which may carry the programming transistors for the logic function 804 of the FPGA called ‘house’ and high above the ‘Attic’—the programming transistors for the interconnect 810. A variation of such multi strata FPGA 4200 is illustrated in
The transistors required for antifuse programming are relatively large and would not require the most leading edge process and stratum-1 could use some of the other techniques for 3D device such as the one described as RCAT, Junction-less devices.
Stratum-3 could also carry all the functions associated with the bit-stream interface for loading in the logic function, while stratum-1 could also carry all the functions associated with the bit-stream interface for loading in the routing function.
An attractive advantage of a multi-strata FPGA is the ability to reduce cost in volume production with minimal change to the functional device 4220—the House. Thus, stratum 3 could be removed by having planned in the House, so each SRAM programming signal coming from stratum-1 could have a pre-built replacement option such as a custom via connecting it to ‘high’ (Vdd) or to ‘low’ (Vss) instead. By having a custom layer such as a Via-1 layer, the function of stratum-3 could be replaced for high volume production giving up the field programmability or at least some of it.
In a similar way, stratum-1 could be replaced by replacing the antifuses with a custom via layer such as a via-6 layer.
In such case both the field programmable device and the volume device could be very much the same in respect to the House stratum-2 4220 portion but the volume device would be at a far lower cost to produce as it would not have either a stratum-1 and/or a stratum-3. So the field programmable device would have more than 8 layers that are just as the volume device but would not have the same amount of active transistors layers by not having stratum-1 and/or Stratum-3, their associated transistor and interconnect layers.
While this approach keeps the user function 4220 almost the same for the programmable version and the volume lower cost version, there might be some minor differences either since the on resistance of a via is less than the antifuse, and/or since the drive of via is better than that of an SRAM cell output. Those differences could be modeled and appropriate library and routing models could be provided so a designer can use his design tool (EDA) to validate correctness of the design for both implementations.
A paper presented at IEDM 2014 (paper #8.2) by D. S. Gardner at al., “Integrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors,” incorporated herein by reference, the authors teach the use of porous silicon for the formation of high capacity capacitors. Quoting: “In this work, electrochemical (EC) capacitors based on porous-silicon (P-Si) nanostructures with channel sizes 20 nm to 100 nm were synthesized and coated with atomic layer deposited (ALD) films or chemical vapor deposited (CVD) carbon. “ . . . ” The pores can be formed in localized regions on the front side of a Si die or utilizing the backside bulk Si of integrated circuits”. This type of capacitor could be integrated to one or more of the porous layer presented herein. Such could be integrated in the functional carrier 3585 to provide an alternative to the trench capacitor presented before in respect to
Another use of capacitors constructed utilizing such porous layers could be for capacitor based DRAM. This could be an alternative to trench capacitors by forming it under the DRAM select transistor or as an alternative to place the capacitor utilizing porous layer(s) overlaying the DRAM select transistor. Or as a side capacitor by forming the porous area in a selected area assisted by lithography and structure and modified flow of those presented in U.S. Pat. No. 8,687,399, incorporated herein by reference. Such as presented in respect to FIG. 6A-J and FIG. 19.
In U.S. Pat. Nos. 7,951,691 and 8,466,037, incorporated herein by reference, an alternative technique for porous layer cut is presented.
This type of ‘cut per die’ could be implemented for many of the structures presented herein. As etch to the porous layer would make allow it to free the die. This could be also be assisted by etching the underlying porous through the opening made in the ‘streets’—dicing lanes. The porous layer could be etched at a rate of over 10,000× faster than the solid silicon—provide very good etch selectivity. A non-directional etch step could be used after the dicing lanes have been etched to the porous layer to further assist the release of each individual die. For example instead of flipping over and bonding the structure 3350 on top of a carrier wafer 3365 as was described before, the dicing lanes could be etched all the way to the porous layers 3304 of
Such could be attractive for many products including the described image sensor. The Carrier wafer could further be cleaned for reuse. A key aspect of this flow is that while a full wafer structure would be too thin to be handled without being bonded to some type of carrier, individual die could be small enough to be handled even if it is only few microns thick.
In general the semiconductor processes are done at wafer level to keep the cost down and only at the end the wafer is being diced and the individual dies are packaged to be integrated into the electronic system. Yet, the multi strata device could be a complex system where integrating individual dies could provide some important advantages such as:
While the above description is about individual dies, an alternative approach could be some group of dies. Especially when the objective is to bond them into a multi-strata 3D wafer structure. By grouping dice, some cost saving could be achieved for the process of pick and place die to wafer. And some benefit would be achieved in respect to potential lithography steps that might take place for further interconnect and follow-up processing. Such groups of dice could be 4 dies at a time or reticle (as used in stepper base lithography) at a time. Each ‘semiconductor unit’ whether a die or group of dies that is designed to be individually picked and bonded could have its own alignment marks to support precise placement and bonding and precise alignment of successive lithography steps or other processes to it. This successive lithography step could be conventional mask based or may include direct write e-beam which provides a better per die flexibility. The use of an e-beam could be effective as the per die connection between strata could be constructed to be limited type of pattern such as via, horizontal fixed size strips and/or vertical fix size strips. Such a limited set of pattern could be made as a fixed pattern of the e-beam machine thereby reducing the number of shots using a shaped beam and thus increase throughput and reduce costs.
Also dies from different wafers could be bonded into one layer of the multi strata structure similar to the illustration of FIG. 12E of U.S. Pat. No. 8,273,610.
Die to wafer picking and bonding equipment do exist today. In most cases they are designed to handle die that are being cut from a regular wafer of about 700 micron thick or from wafer that has thinned down to about 50 micron. For the multi strata application described herein the die to wafer bonder should be designed to handle die that is thinned to about a micron or even less. Such thin die is more flexible and the equipment should be designed accordingly. The thin die would be easier to align to the target wafer as it thin enough for the alignment marks of the target wafer to be detected through it. Keeping good temperature control and matching the temperature of the ‘semiconductor unit’ and the target wafer could allow very precise placing and bonding to the level of less than one micron or even less than 0.1 micron.
For avoiding use of bad dies, testing of the dies could be done prior to the pick and bond phase. It could be preferred to do such wafer probing without physically contacting the die under test as presented in respect to FIG. 86C of U.S. Pat. No. 8,273,610, and FIG. 24C of U.S. Pat. No. 8,669,778. The concept of high efficiency contactless wafer probing is also presented in U.S. patent application Ser. No. 13/465,142, Ser. No. 14/006,020 incorporated herein by reference and other similar disclosures know in the art. In general, test signals are exchanged with the wafer under test using a probe card or a probing wafer, wherein a wireless contact may be achieved by utilizing capacitive coupling, inductive coupling or even electro-optical coupling. To power the wafer under test, a contact could be made with special power pads integrated within each die or in the other area of the wafer, for example, such as the dicing streets, to provide power to a device under test. In addition, contactless powering could be used as was described earlier herein utilizing energy coupling and harvesting such as capacitive coupling, inductive coupling or even electro-optical coupling. In this alternative the picked die could resemble the structure of
Another alternative is to have the detached die relatively thicker to assist with its improved mechanical strength. Then after placing and bonding, subsequently thin these dies to assist with vertical connectivity. Such post-bonding thinning could leverage a porous layer in a similar way to what has been presented before herein.
Another alternative is to pick dies and place them into a carrier wafer and then move the carrier wafer onto the target wafer as is illustrated in
The bonding could be oxide to oxide which would be followed by via formation through the multi-layer die structure followed by interconnection layers formation or metal to metal or hybrid bonding. There are many techniques for the formation of this multi-strata 3DIC wafer. Some of those techniques have been presented in U.S. Pat. No. 8,273,610 as related to at least these figures: FIG. 30 to FIG. 33, FIG. 73 to FIG. 80, FIG. 93 to FIG. 94, and FIG. 155.
The 3DIC system processing and the ability to mix strata of wafer level and populated die level open multiple alternatives to manage one of the critical challenges in semiconductor manufacturing—yield. This could involve any or all of the following options:
The teaching herein in respect to die or semiconductor unit is applicable just as well to any portion of a die or reticle defined pattern. These portions could be defined by having potential dice lines or defined by etch dice lines or isolation lines as presented in the patent incorporated by reference such as: U.S. Pat. No. 8,669,778, in at least FIG. 9-16 and FIG. 22-23; U.S. Pat. No. 8,687,399, incorporated herein by reference, in at least FIG. 29-30; U.S. Pat. No. 8,536,023, incorporated herein by reference; in at least FIG. 27A-F; and U.S. Pat. No. 8,273,610; in respect to at least FIG. 9-12, FIG. 84 A-G, FIG. 183A-C and FIG. 211-215. These techniques could be effective to any repeating circuits such as Gate-Array, FPGA, Memories, Image Sensor and multi core. The repeating structure could be built in high volume, and specific application could be cut off it the desired size allowing good fit to the application resulting in reduced development and NRE cost and benefiting from volume production of generic product. This allows what could be called ‘Lego’ construction of a specific product by leveraging pieces of standard products.
Similar concepts could be also called platform based design where there are base strata on which additional strata or die could be added to form custom 3DIC systems from some building blocks that could serve other application as well, such as presented in respect to at least
Manufacturing wafers with advanced lithography and multiple metal layers is expensive Manufacturing three-dimensional devices, including monolithic 3D devices, where multiple advanced lithography layers or strata each with multiple metal layers are stacked on top of each other is even more expensive. The vertical stacking process offers new degree of freedom that can be leveraged with appropriate Computer Aided Design (“CAD”) tools to lower the manufacturing cost.
Most designs are made of blocks, but the characteristics of these block is frequently not uniform. Consequently, certain blocks may require fewer routing resources, while other blocks may require very dense routing resources. In two dimensional devices the block with the highest routing density demands dictates the number of metal layers for the whole device, even if some device regions may not need them. Three dimensional devices offer a new possibility of partitioning designs into multiple layers or strata based on the routing demands of the blocks assigned to each layer or strata.
Another variation on this invention is to partition designs into blocks that require a particular advanced process technology for reasons of density or speed, and blocks that have less demanding requirements for reasons of speed, area, voltage, power, or other technology parameters. Such partitioning may be carried into two or more partitions and consequently different process technologies or nodes may be used on different vertical layers or strata to provide optimized fit to the design's logic and cost demands. This is particularly important in mobile, mass-produced devices, where both cost and optimized power consumption are of paramount importance.
Synthesis CAD tools currently used in the industry for two-dimensional devices include a single target library. For three-dimensional designs these synthesis tools or design automation tools may need to be enhanced to support two or more target libraries to be able to support synthesis for disparate technology characteristics of vertical layers or strata. Such disparate layers or strata will allow better cost or power optimization of three-dimensional designs.
The partitioning starts with synthesis into APL with a target performance. Once complete, timing analysis may be done on the design and paths may be sorted by timing slack. The total estimated chip area A(t) may be computed and reasonable margins may be added as usual in anticipation of routing congestion and buffer insertion. The number of vertical layers S may be selected and the overall footprint A(t)/S may be computed.
In the first phase components belonging to paths estimated to require APL, based on timing slack below selected threshold Th, may be set aside (tagged APL). The area of these component may be computed to be A(apl). If A(apl) represents a fraction of total area A(t) greater than (S−1)/S then the process terminates and no partitioning into APL and RPL is possible—the whole design needs to be in the APL.
If the fraction of the design that requires APL is smaller than (S−1)/S then it is possible to have at least one layer of RPL. The partitioning process now starts from the largest slack path and towards lower slack paths. It tentatively tags all components of those paths that are not tagged APL with RPL, while accumulating the area of the marked components as A(rpl). When A(rpl) exceeds the area of a complete layer, A(t)/S, the components tentatively marked RPL may be permanently tagged RPL and the process continues after resetting A(rpl) to zero. If all paths are revisited and the components tentatively tagged RPL do not make for an area of a complete layer or strata, their tagging may be reversed back to APL and the process is terminated. The reason is that we want to err on the side of caution and a layer or stratum should be an APL layer if it contains a mix of APL and RPL components.
The process as described assumes the availability of equivalent components in both APL and RPL technology. Ordinary persons skilled in the art will recognize that variations on this process can be done to accommodate non-equivalent technology libraries through remapping of the RPL-tagged components in a subsequent synthesis pass to an RPL target library, while marking all the APL-tagged components as untouchable. Similarly, different area requirements between APL and RPL can be accommodated through scaling and de-rating factors at the decision making points of the flow. Moreover, the term layer, when used in the context of layers of mono-crystalline silicon and associated transistors, interconnect, and other associated device structures in a 3D device, such as, for example, uncommitted repair layer 2432 (found in FIG. 24 of parents U.S. Ser. No. 15/477,106 and U.S. Ser. No. 14/642,724), may also be referred to as stratum or strata.
The partitioning process described above can be re-applied to the resulting partitions to produce multi-way partitioning and further optimize the design to minimize cost and power while meeting performance objectives.
The challenge of aligning preformed or partially preformed planar transistors to the underlying layers and substrates may be overcome by the use of repeating structures on the donor wafer or substrate and the use of metal connect landing strips either on the acceptor wafer only or on both the donor and acceptor wafers. The metal connect landing strips may be formed with metals, such as, for example, copper or aluminum, and may include barrier metals, such as, for example, TiN or WCo. Repeating patterns in one direction, for example, North to South repeats of preformed structures may be accomplished with the alignment scheme and metal landing strips as described previously with reference to the FIG. 33 of incorporated reference U.S. Pat. No. 8,273,610. The gate last HKMG process may be utilized to create a pre-processed donor wafer that builds not just one transistor type but both types by utilizing alternating parallel strips or rows that may be the die width plus maximum donor wafer to acceptor wafer misalignment in length.
Some embodiments of the invention may include alternative techniques to build IC (Integrated Circuit) devices including techniques and methods to construct 3DIC systems. Some embodiments of the invention may enable device solutions with far less power consumption than prior art. The device solutions could be very useful for the growing application of mobile electronic devices and mobile systems such as, for example, mobile phones, smart phone, and cameras, those mobile systems may also connect to the internet. For example, incorporating the 3DIC semiconductor devices according to some embodiments of the invention within the mobile electronic devices and mobile systems could provide superior mobile units that could operate much more efficiently and for a much longer time than with prior art technology.
Smart mobile systems may be greatly enhanced by complex electronics at a limited power budget. The 3D technology described in the multiple embodiments of the invention would allow the construction of low power high complexity mobile electronic systems. For example, it would be possible to integrate into a small form function a complex logic circuit with high density high speed memory utilizing some of the 3D DRAM embodiments of the invention and add some non-volatile 3D NAND charge trap or RRAM described in some embodiments of the invention. Mobile system applications of the 3DIC technology described herein may be found at least in FIG. 156 of U.S. Pat. No. 8,273,610, the contents of which are incorporated by reference.
Furthermore, some embodiments of the invention may include alternative techniques to build systems based on integrated 3D devices including techniques and methods to construct 3DIC based systems that communicate with other 3DIC based systems. Some embodiments of the invention may enable system solutions with far less power consumption and intercommunication abilities at lower power than prior art. These systems may be called ‘Internet of Things”, or IoT, systems, wherein the system enabler is a 3DIC device which may provide at least three functions: a sensing capability, a digital and signal processing capability, and communication capability. For example, the sensing capability may include a region or regions, layer or layers within the 3DIC device which may include, for example, a MEMS accelerometer (single or multi-axis), gas sensor, electric or magnetic field sensor, microphone or sound sensing (air pressure changes), image sensor of one or many wavelengths (for example, as disclosed in at least U.S. Pat. Nos. 8,283,215 and 8,163,581, incorporated herein by reference), chemical sensing, gyroscopes, resonant structures, cantilever structures, ultrasonic transducers (capacitive & piezoelectric). Digital and signal processing capability may include a region or regions, layer or layers within the 3DIC device which may include, for example, a microprocessor, digital signal processor, micro-controller, FPGA, and other digital land/or analog logic circuits, devices, and subsystems. Communication capability, such as communication from at least one 3DIC of IoT system to another, or to a host controller/nexus node, may include a region or regions, layer or layers within the 3D IC device which may include, for example, an RF circuit and antenna or antennas for wireless communication which might utilize standard wireless communication protocols such as G4, WiFi or Bluetooth, I/O buffers and either mechanical bond pads/wires and/or optical devices/transistors for optical communication, transmitters, receivers, codecs, DACs, digital or analog filters, modulators.
Energy harvesting, device cooling and other capabilities may also be included in the system. The 3DIC inventions disclosed herein and in the incorporated referenced documents enable the IoT system to closely integrate different crystal devices, for example a layer or layers of devices/transistors formed on and/or within mono or poly crystalline silicon combined with a layer or layers of devices/transistors formed on and/or within Ge, or a layer of layers of GaAs, InP, differing silicon crystal orientations, and so on. For example, incorporating the 3DIC semiconductor devices according to some embodiments of the invention as or within the IoT systems and mobile systems could provide superior IoT or mobile systems that could operate much more efficiently and for a much longer time than with prior art technology. The 3DIC technology herein disclosed provides a most efficient path for heterogeneous integration with very effective integration reducing cost and operating power with the ability to support redundancy for long field life and other advantages which could make such an IoT System commercially successful.
Alignment is a basic step in semiconductor processing. For most cases it is part of the overall process flow that every successive layer is patterned when it is aligned to the layer below it. These alignments could all be done to one common alignment mark, or to some other alignment mark or marks that are embedded in a layer underneath. In today's equipment such alignment would be precise to below a few nanometers and better than 40 nm or better than 20 nm and even better than 10 nm. In general such alignment could be observed by comparing two devices processed using the same mask set. If two layers in one device maintain their relative relationship in both devices—to few nanometers—it is clear indication that these layers are aligned each to the other. This could be achieved by either aligning to the same alignment mark (sometimes called a zero mark alignment scheme), or one layer is using an alignment mark embedded in the other layer (sometimes called a direct alignment), or using different alignment marks of layers that are aligned to each other (sometimes called an indirect alignment).
In this document, the connection made between layers of, generally, single crystal, transistors, which may be variously named for example as thermal contacts and vias, Thru Layer Via (TLV), TSV (Thru Silicon Via), may be made and include electrically and thermally conducting material or may be made and include an electrically non-conducting but thermally conducting material or materials. A device or method may include formation of both of these types of connections, or just one type. By varying the size, number, composition, placement, shape, or depth of these connection structures, the coefficient of thermal expansion exhibited by a layer or layers may be tailored to a desired value. For example, the coefficient of thermal expansion of the second layer of transistors may be tailored to substantially match the coefficient of thermal expansion of the first layer, or base layer of transistors, which may include its (first layer) interconnect layers.
Base wafers or substrates, or acceptor wafers or substrates, or target wafers substrates herein may be substantially comprised of a crystalline material, for example, mono-crystalline silicon or germanium, or may be an engineered substrate/wafer such as, for example, an SOI (Silicon on Insulator) wafer or GeOI (Germanium on Insulator) substrate. Similarly, donor wafers herein may be substantially comprised of a crystalline material and may include, for example, mono-crystalline silicon or germanium, or may be an engineered substrate/wafer such as, for example, an SOI (Silicon on Insulator) wafer or GeOI (Germanium on Insulator) substrate, depending on design and process flow choices.
While mono-crystalline silicon has been mentioned as a transistor material in this document, other options are possible including, for example, poly-crystalline silicon, mono-crystalline germanium, mono-crystalline III-V semiconductors, graphene, and various other semiconductor materials with which devices, such as transistors, may be constructed within. Moreover, thermal contacts and vias may or may not be stacked in a substantially vertical line through multiple stacks, layers, strata of circuits. Thermal contacts and vias may include materials such as sp2 carbon as conducting and sp3 carbon as non-conducting of electrical current. Thermal contacts and vias may include materials such as carbon nano-tubes. Thermal contacts and vias may include materials such as, for example, copper, aluminum, tungsten, titanium, tantalum, cobalt metals and/or silicides of the metals. First silicon layers or transistor channels and second silicon layers or transistor channels may be may be substantially absent of semiconductor dopants to form an undoped silicon region or layer, or doped, such as, for example, with elemental or compound species that form a p+, or p, or p−, or n+, or n, or n− silicon layer or region. A heat removal apparatus may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure. Furthermore, raised source and drain contact structures, such as etch and epi SiGe and SiC, and implanted S/Ds (such as C) may be utilized for strain control of transistor channel to enhance carrier mobility and may provide contact resistance improvements. Damage from the processes may be optically annealed. Strain on a transistor channel to enhance carrier mobility may be accomplished by a stressor layer or layers as well.
In this specification the terms stratum, tier or layer might be used for the same structure and they may refer to transistors or other device structures (such as capacitors, resistors, inductors) that may lie substantially in a plane format and in most cases such stratum, tier or layer may include the interconnection layers used to interconnect the transistors on each. In a 3D device as herein described there may at least two such planes called tier, or stratum or layer.
In a 3DIC system stack, each layer/stratum may include a different operating voltage than other layers/stratum, for example, one stratum may have Vcc of 1.0 v and another may have a Vcc of 0.7 v. For example, one stratum may be designed for logic and have the appropriate Vcc for that process/device node, and another stratum in the stack may be designed for analog devices, and have a different Vcc, likely substantially higher in value—for example, greater than 3 volts, greater than 5 volts, greater than 8 volts, greater than 10 volts. In a 3DIC system stack, each layer/stratum may include a different gate dielectric thickness than other layers/stratum. For example, one stratum may include a gate dielectric thickness of 2 nm and another 10 nm. The definition of dielectric thickness may include both a physical definition of material thickness and an electrically ‘effective’ thickness of the material, given differing permittivity of the materials. In a 3DIC system stack, each layer/stratum may include different gate stack materials than other layers/stratum. For example, one stratum may include a HKMG (High k metal gate) stack and another stratum may include a polycide/silicon oxide gate stack. In a 3DIC system stack, each layer/stratum may include a different junction depth than other layers/stratum. For example, the depth of the junctions may include a FET transistor source or drain, bipolar emitter and contact junctions, vertical device junctions, resistor or capacitor junctions, and so on. For example, one stratum may include junctions of a fully depleted MOSFET, thus its junction depth may be defined by the thickness of the stratum device silicon to the vertical isolation, and the other stratum may also be fully depleted devices with a junction depth defined similarly, but one stratum has a thicker silicon layer than the other with respect to the respective edges of the vertical isolation. In a 3DIC system stack, each layer/stratum may include a different junction composition and/or structure than other layers/stratum. For example, one stratum may include raised source drains that may be constructed from an etch and epitaxial deposition processing, another stratum in the stack may have implanted and annealed junctions or may employ dopant segregation techniques, such as those utilized to form DSS Schottky transistors.
Some 3D device flows presented herein suggest the use of the ELTRAN or modified ELTRAN techniques and in other time a flow is presented using the ion-cut technique. It would be obvious for someone skilled in the art to suggest an alternative process flow by exchanging one layer transfer technique with another. Just as in some steps one could exchange these layer transfer techniques with others presented herein or in other publication such as the bonding of SOI wafer and etch back. These would be variations for the described and illustrated 3D process flows presented herein.
In various places here or in the incorporated by reference disclosures of heat removal techniques have been presented and illustrated. It would be obvious to person skilled in the art to apply these techniques to any of the other variations of 3D devices presented herein.
In various places here or in the incorporated by reference disclosures of repair and redundancy techniques have been presented and illustrated. It would be obvious to person skilled in the art to apply these techniques to any of the other variations of 3D devices presented herein.
In various places here or in the incorporated by reference disclosures memories and other circuit and techniques of customizing and integrating these structures have been presented and illustrated. It would be obvious to person skilled in the art to apply these techniques and structures to any of the other variations of 3D devices presented herein.
It should be noted that one of the design requirements for a monolithic 3DIC design may be that substantially all of the stacked layers and the base or substrate would have their respective dice lines (may be called scribe-lines) aligned. As the base wafer or substrate is processed and multiple circuits may be constructed on semiconductor layers that overlay each other, the overall device may be designed wherein each overlaying layer would have its respective dice lines overlying the dice lines of the layer underneath, thus at the end of processing the entire layer stacked wafer/substrate could be diced in a single dicing step. There may be test structures in the streets between dice lines, which overall may be called scribe-lanes or dice-lanes. These scribe-lanes or dice-lanes may be 10 um wide, 20 um wide, 50 um wide 100 um wide, or greater than 100 um wide depending on design choice and die singulation process capability. The scribe-lanes or dice-lanes may include guard-ring structures and/or other die border structures. In a monolithic 3D design each layer test structure could be connected through each of the overlying layers and then to the top surface to allow access to these ‘buried’ test structure before dicing the wafer. Accordingly the design may include these vertical connections and may offset the layer test structures to enable such connection. In many cases the die borders comprise a protection structure, such as, for example, a guard-ring structure, die seal structure, ESD structure, and others elements. Accordingly in a monolithic 3D device these structures, such as guard rings, would be designed to overlay each other and may be aligned to each other during the course of processing. The die edges may be sealed by a process and structure such as, for example, described in relation to FIG. 183C of incorporated U.S. Pat. No. 8,273,610, and may include aspects as described in relation to FIGS. 183A and 183B of same reference. One skilled in the art would recognize that the die seal can be passive or electrically active. On each 3D stack layer, or stratum, the electronic circuits within one die, that may be circumscribed by a dice-lane, may not be connected to the electronic circuits of a second die on that same wafer, that second die also may be circumscribed by a dice-lane. Further, the dice-lane/scribe-lane of one stratum in the 3D stack may be aligned to the dice-lane/scribe-lane of another stratum in the 3D stack, thus providing a direct die singulation vector for the 3D stack of strata/layers.
It will also be appreciated by persons of ordinary skill in the art that the invention is not limited to what has been particularly shown and described hereinabove. For example, drawings or illustrations may not show n or p wells for clarity in illustration. Moreover, transistor channels illustrated or discussed herein may include doped semiconductors, but may instead include undoped semiconductor material. Further, any transferred layer or donor substrate or wafer preparation illustrated or discussed herein may include one or more undoped regions or layers of semiconductor material. Moreover, epitaxial regrow of source and drains may utilize processes such as liquid phase epitaxial regrowth or solid phase epitaxial regrowth, and may utilize flash or laser processes to freeze dopant profiles in place and may also permit non-equilibrium enhanced activation (superactivation). Further, transferred layer or layers may have regions of STI or other transistor elements within it or on it when transferred. Rather, the scope of the invention includes combinations and sub-combinations of the various features described hereinabove as well as modifications and variations which would occur to such skilled persons upon reading the foregoing description. Thus the invention is to be limited only by the appended claims.
Number | Name | Date | Kind |
---|---|---|---|
3007090 | Rutz | Oct 1961 | A |
3819959 | Chang et al. | Jun 1974 | A |
4009483 | Clark | Feb 1977 | A |
4197555 | Uehara et al. | Apr 1980 | A |
4213139 | Rao et al. | Jul 1980 | A |
4400715 | Barbee et al. | Aug 1983 | A |
4487635 | Kugimiya et al. | Dec 1984 | A |
4510670 | Schwabe | Apr 1985 | A |
4522657 | Rohatgi et al. | Jun 1985 | A |
4612083 | Yasumoto et al. | Sep 1986 | A |
4643950 | Ogura et al. | Feb 1987 | A |
4704785 | Curran | Nov 1987 | A |
4711858 | Harder et al. | Dec 1987 | A |
4721885 | Brodie | Jan 1988 | A |
4732312 | Kennedy et al. | Mar 1988 | A |
4733288 | Sato | Mar 1988 | A |
4829018 | Wahlstrom | May 1989 | A |
4854986 | Raby | Aug 1989 | A |
4866304 | Yu | Sep 1989 | A |
4939568 | Kato et al. | Jul 1990 | A |
4956307 | Pollack et al. | Sep 1990 | A |
5012153 | Atkinson et al. | Apr 1991 | A |
5032007 | Silverstein et al. | Jul 1991 | A |
5047979 | Leung | Sep 1991 | A |
5087585 | Hayashi | Feb 1992 | A |
5093704 | Sato et al. | Mar 1992 | A |
5106775 | Kaga et al. | Apr 1992 | A |
5152857 | Ito et al. | Oct 1992 | A |
5162879 | Gill | Nov 1992 | A |
5189500 | Kusunoki | Feb 1993 | A |
5217916 | Anderson et al. | Jun 1993 | A |
5250460 | Yamagata et al. | Oct 1993 | A |
5258643 | Cohen | Nov 1993 | A |
5265047 | Leung et al. | Nov 1993 | A |
5266511 | Takao | Nov 1993 | A |
5277748 | Sakaguchi et al. | Jan 1994 | A |
5286670 | Kang et al. | Feb 1994 | A |
5294556 | Kawamura | Mar 1994 | A |
5308782 | Mazure et al. | May 1994 | A |
5312771 | Yonehara | May 1994 | A |
5317236 | Zavracky et al. | May 1994 | A |
5324980 | Kusunoki | Jun 1994 | A |
5355022 | Sugahara et al. | Oct 1994 | A |
5371037 | Yonehara | Dec 1994 | A |
5374564 | Bruel | Dec 1994 | A |
5374581 | Ichikawa et al. | Dec 1994 | A |
5424560 | Norman et al. | Jun 1995 | A |
5475280 | Jones et al. | Dec 1995 | A |
5478762 | Chao | Dec 1995 | A |
5485031 | Zhang et al. | Jan 1996 | A |
5498978 | Takahashi et al. | Mar 1996 | A |
5527423 | Neville et al. | Jun 1996 | A |
5535342 | Taylor | Jul 1996 | A |
5554870 | Fitch et al. | Sep 1996 | A |
5563084 | Ramm et al. | Oct 1996 | A |
5583349 | Norman et al. | Dec 1996 | A |
5583350 | Norman et al. | Dec 1996 | A |
5586291 | Lasker | Dec 1996 | A |
5594563 | Larson | Jan 1997 | A |
5604137 | Yamazaki et al. | Feb 1997 | A |
5617991 | Pramanick et al. | Apr 1997 | A |
5627106 | Hsu | May 1997 | A |
5656548 | Zavracky et al. | Aug 1997 | A |
5656553 | Leas et al. | Aug 1997 | A |
5659194 | Iwamatsu | Aug 1997 | A |
5670411 | Yonehara | Sep 1997 | A |
5681756 | Norman et al. | Oct 1997 | A |
5695557 | Yamagata et al. | Dec 1997 | A |
5701027 | Gordon et al. | Dec 1997 | A |
5707745 | Forrest et al. | Jan 1998 | A |
5714395 | Bruel | Feb 1998 | A |
5721160 | Forrest et al. | Feb 1998 | A |
5737748 | Shigeeda | Apr 1998 | A |
5739552 | Kimura et al. | Apr 1998 | A |
5744979 | Goetting | Apr 1998 | A |
5748161 | Lebby et al. | May 1998 | A |
5757026 | Forrest et al. | May 1998 | A |
5770483 | Kadosh | Jun 1998 | A |
5770881 | Pelella et al. | Jun 1998 | A |
5781031 | Bertin et al. | Jul 1998 | A |
5817574 | Gardner | Oct 1998 | A |
5829026 | Leung et al. | Oct 1998 | A |
5835396 | Zhang | Nov 1998 | A |
5854123 | Sato et al. | Dec 1998 | A |
5861929 | Spitzer | Jan 1999 | A |
5877034 | Ramm | Mar 1999 | A |
5877070 | Goesele et al. | Mar 1999 | A |
5882987 | Srikrishnan | Mar 1999 | A |
5883525 | Tavana et al. | Mar 1999 | A |
5889903 | Rao | Mar 1999 | A |
5893721 | Huang et al. | Apr 1999 | A |
5915167 | Leedy | Jun 1999 | A |
5920788 | Reinberg | Jul 1999 | A |
5937312 | Iyer et al. | Aug 1999 | A |
5943574 | Tehrani et al. | Aug 1999 | A |
5952680 | Strite | Sep 1999 | A |
5952681 | Chen | Sep 1999 | A |
5965875 | Merrill | Oct 1999 | A |
5977579 | Noble | Nov 1999 | A |
5977961 | Rindal | Nov 1999 | A |
5980633 | Yamagata et al. | Nov 1999 | A |
5985742 | Henley et al. | Nov 1999 | A |
5994746 | Reisinger | Nov 1999 | A |
5998808 | Matsushita | Dec 1999 | A |
6001693 | Yeouchung et al. | Dec 1999 | A |
6009496 | Tsai | Dec 1999 | A |
6020252 | Aspar et al. | Feb 2000 | A |
6020263 | Shih et al. | Feb 2000 | A |
6027958 | Vu et al. | Feb 2000 | A |
6030700 | Forrest et al. | Feb 2000 | A |
6052498 | Paniccia | Apr 2000 | A |
6054370 | Doyle | Apr 2000 | A |
6057212 | Chan et al. | May 2000 | A |
6071795 | Cheung et al. | Jun 2000 | A |
6075268 | Gardner et al. | Jun 2000 | A |
6103597 | Aspar et al. | Aug 2000 | A |
6111260 | Dawson et al. | Aug 2000 | A |
6125217 | Paniccia et al. | Sep 2000 | A |
6153495 | Kub et al. | Nov 2000 | A |
6191007 | Matsui et al. | Feb 2001 | B1 |
6200878 | Yamagata | Mar 2001 | B1 |
6222203 | Ishibashi et al. | Apr 2001 | B1 |
6226197 | Nishimura | May 2001 | B1 |
6229161 | Nemati et al. | May 2001 | B1 |
6242324 | Kub et al. | Jun 2001 | B1 |
6242778 | Marmillion et al. | Jun 2001 | B1 |
6252465 | Katoh | Jun 2001 | B1 |
6259623 | Takahashi | Jul 2001 | B1 |
6261935 | See et al. | Jul 2001 | B1 |
6264805 | Forrest et al. | Jul 2001 | B1 |
6281102 | Cao et al. | Aug 2001 | B1 |
6294018 | Hamm et al. | Sep 2001 | B1 |
6306705 | Parekh et al. | Oct 2001 | B1 |
6321134 | Henley et al. | Nov 2001 | B1 |
6322903 | Siniaguine et al. | Nov 2001 | B1 |
6331468 | Aronowitz et al. | Dec 2001 | B1 |
6331790 | Or-Bach et al. | Dec 2001 | B1 |
6331943 | Naji et al. | Dec 2001 | B1 |
6353492 | McClelland et al. | Mar 2002 | B2 |
6355501 | Fung et al. | Mar 2002 | B1 |
6355976 | Faris | Mar 2002 | B1 |
6358631 | Forrest et al. | Mar 2002 | B1 |
6365270 | Forrest et al. | Apr 2002 | B2 |
6376337 | Wang et al. | Apr 2002 | B1 |
6377504 | Hilbert | Apr 2002 | B1 |
6380046 | Yamazaki | Apr 2002 | B1 |
6392253 | Saxena | May 2002 | B1 |
6404043 | Isaak | Jun 2002 | B1 |
6417108 | Akino et al. | Jul 2002 | B1 |
6420215 | Knall et al. | Jul 2002 | B1 |
6423614 | Doyle | Jul 2002 | B1 |
6429481 | Mo et al. | Aug 2002 | B1 |
6429484 | Yu | Aug 2002 | B1 |
6430734 | Zahar | Aug 2002 | B1 |
6448615 | Forbes | Sep 2002 | B1 |
6475869 | Yu | Nov 2002 | B1 |
6476493 | Or-Bach et al. | Nov 2002 | B2 |
6479821 | Hawryluk et al. | Nov 2002 | B1 |
6483707 | Freuler et al. | Nov 2002 | B1 |
6507115 | Hofstee | Jan 2003 | B2 |
6515334 | Yamazaki et al. | Feb 2003 | B2 |
6515511 | Sugibayashi et al. | Feb 2003 | B2 |
6526559 | Schiefele et al. | Feb 2003 | B2 |
6528391 | Henley et al. | Mar 2003 | B1 |
6534352 | Kim | Mar 2003 | B1 |
6534382 | Sakaguchi et al. | Mar 2003 | B1 |
6544837 | Divakauni et al. | Apr 2003 | B1 |
6545314 | Forbes et al. | Apr 2003 | B2 |
6555901 | Yoshihara et al. | Apr 2003 | B1 |
6563139 | Hen | May 2003 | B2 |
6580124 | Cleeves | Jun 2003 | B1 |
6580289 | Cox | Jun 2003 | B2 |
6600173 | Tiwari | Jul 2003 | B2 |
6617694 | Kodaira et al. | Sep 2003 | B2 |
6620659 | Emmma et al. | Sep 2003 | B2 |
6624046 | Zavracky et al. | Sep 2003 | B1 |
6627518 | Inoue et al. | Sep 2003 | B1 |
6627985 | Huppenthal et al. | Sep 2003 | B2 |
6630713 | Geusic | Oct 2003 | B2 |
6635552 | Gonzalez | Oct 2003 | B1 |
6635588 | Hawryluk et al. | Oct 2003 | B1 |
6638834 | Gonzalez | Oct 2003 | B2 |
6642744 | Or-Bach et al. | Nov 2003 | B2 |
6653209 | Yamagata | Nov 2003 | B1 |
6653712 | Knall et al. | Nov 2003 | B2 |
6654898 | Bailey | Nov 2003 | B1 |
6661085 | Kellar et al. | Dec 2003 | B2 |
6677204 | Cleeves et al. | Jan 2004 | B2 |
6686253 | Or-Bach | Feb 2004 | B2 |
6689660 | Noble | Feb 2004 | B1 |
6701071 | Wada et al. | Mar 2004 | B2 |
6703328 | Tanaka et al. | Mar 2004 | B2 |
6756633 | Wang et al. | Jun 2004 | B2 |
6756811 | Or-Bach | Jun 2004 | B2 |
6759282 | Campbell et al. | Jul 2004 | B2 |
6762076 | Kim et al. | Jul 2004 | B2 |
6774010 | Chu et al. | Aug 2004 | B2 |
6805979 | Ogura et al. | Oct 2004 | B2 |
6806171 | Ulyashin et al. | Oct 2004 | B1 |
6809009 | Aspar et al. | Oct 2004 | B2 |
6815781 | Vyvoda et al. | Nov 2004 | B2 |
6819136 | Or-Bach | Nov 2004 | B2 |
6821826 | Chan et al. | Nov 2004 | B1 |
6841813 | Walker et al. | Jan 2005 | B2 |
6844243 | Gonzalez | Jan 2005 | B1 |
6864534 | Ipposhi et al. | Mar 2005 | B2 |
6875671 | Faris | Apr 2005 | B2 |
6882572 | Wang et al. | Apr 2005 | B2 |
6888375 | Feng et al. | May 2005 | B2 |
6917219 | New | Jul 2005 | B2 |
6927431 | Gonzalez | Aug 2005 | B2 |
6930511 | Or-Bach | Aug 2005 | B2 |
6943067 | Greenlaw | Sep 2005 | B2 |
6943407 | Ouyang et al. | Sep 2005 | B2 |
6949421 | Padmanabhan et al. | Sep 2005 | B1 |
6953956 | Or-Bach et al. | Oct 2005 | B2 |
6967149 | Meyer et al. | Nov 2005 | B2 |
6985012 | Or-Bach | Jan 2006 | B2 |
6989687 | Or-Bach | Jan 2006 | B2 |
6995430 | Langdo et al. | Feb 2006 | B2 |
6995456 | Nowak | Feb 2006 | B2 |
7015719 | Feng et al. | Mar 2006 | B1 |
7016569 | Mule et al. | Mar 2006 | B2 |
7018875 | Madurawe | Mar 2006 | B2 |
7019557 | Madurawe | Mar 2006 | B2 |
7043106 | West et al. | May 2006 | B2 |
7052941 | Lee | May 2006 | B2 |
7064579 | Madurawe | Jun 2006 | B2 |
7067396 | Aspar et al. | Jun 2006 | B2 |
7067909 | Reif et al. | Jun 2006 | B2 |
7068070 | Or-Bach | Jun 2006 | B2 |
7068072 | New et al. | Jun 2006 | B2 |
7078739 | Nemati et al. | Jul 2006 | B1 |
7094667 | Bower | Aug 2006 | B1 |
7098691 | Or-Bach et al. | Aug 2006 | B2 |
7105390 | Brask et al. | Sep 2006 | B2 |
7105871 | Or-Bach et al. | Sep 2006 | B2 |
7109092 | Tong | Sep 2006 | B2 |
7110629 | Bjorkman et al. | Sep 2006 | B2 |
7111149 | Eilert | Sep 2006 | B2 |
7112815 | Prall | Sep 2006 | B2 |
7115945 | Lee et al. | Oct 2006 | B2 |
7115966 | Ido et al. | Oct 2006 | B2 |
7141853 | Campbell et al. | Nov 2006 | B2 |
7148119 | Sakaguchi et al. | Dec 2006 | B1 |
7157787 | Kim et al. | Jan 2007 | B2 |
7157937 | Apostol et al. | Jan 2007 | B2 |
7166520 | Henley | Jan 2007 | B1 |
7170807 | Fazan et al. | Jan 2007 | B2 |
7173369 | Forrest et al. | Feb 2007 | B2 |
7180091 | Yamazaki et al. | Feb 2007 | B2 |
7180379 | Hopper et al. | Feb 2007 | B1 |
7183611 | Bhattacharyya | Feb 2007 | B2 |
7189489 | Kunimoto et al. | Mar 2007 | B2 |
7205204 | Ogawa et al. | Apr 2007 | B2 |
7209384 | Kim | Apr 2007 | B1 |
7217636 | Atanackovic | May 2007 | B1 |
7223612 | Sarma | May 2007 | B2 |
7242012 | Leedy | Jul 2007 | B2 |
7245002 | Akino et al. | Jul 2007 | B2 |
7256104 | Ito et al. | Aug 2007 | B2 |
7259091 | Schuehrer et al. | Aug 2007 | B2 |
7265421 | Madurawe | Sep 2007 | B2 |
7271420 | Cao | Sep 2007 | B2 |
7274207 | Sugawara et al. | Sep 2007 | B2 |
7282951 | Huppenthal et al. | Oct 2007 | B2 |
7284226 | Kondapalli | Oct 2007 | B1 |
7296201 | Abramovici | Nov 2007 | B2 |
7304355 | Zhang | Dec 2007 | B2 |
7312109 | Madurawe | Dec 2007 | B2 |
7312487 | Alam et al. | Dec 2007 | B2 |
7314788 | Shaw | Jan 2008 | B2 |
7335573 | Takayama et al. | Feb 2008 | B2 |
7337425 | Kirk | Feb 2008 | B2 |
7338884 | Shimoto et al. | Mar 2008 | B2 |
7342415 | Teig et al. | Mar 2008 | B2 |
7351644 | Henley | Apr 2008 | B2 |
7358601 | Plants et al. | Apr 2008 | B1 |
7362133 | Madurawe | Apr 2008 | B2 |
7369435 | Forbes | May 2008 | B2 |
7371660 | Henley et al. | May 2008 | B2 |
7378702 | Lee | May 2008 | B2 |
7381989 | Kim | Jun 2008 | B2 |
7385283 | Wu | Jun 2008 | B2 |
7393722 | Issaq et al. | Jul 2008 | B1 |
7402483 | Yu et al. | Jul 2008 | B2 |
7402897 | Leedy | Jul 2008 | B2 |
7419844 | Lee et al. | Sep 2008 | B2 |
7432185 | Kim | Oct 2008 | B2 |
7436027 | Ogawa et al. | Oct 2008 | B2 |
7439773 | Or-Bach et al. | Oct 2008 | B2 |
7446563 | Madurawe | Nov 2008 | B2 |
7459752 | Doris et al. | Dec 2008 | B2 |
7459763 | Issaq et al. | Dec 2008 | B1 |
7459772 | Speers | Dec 2008 | B2 |
7463062 | Or-Bach et al. | Dec 2008 | B2 |
7463502 | Stipe | Dec 2008 | B2 |
7470142 | Lee | Dec 2008 | B2 |
7470598 | Lee | Dec 2008 | B2 |
7476939 | Okhonin et al. | Jan 2009 | B2 |
7477540 | Okhonin et al. | Jan 2009 | B2 |
7485968 | Enquist et al. | Feb 2009 | B2 |
7486563 | Waller et al. | Feb 2009 | B2 |
7488980 | Takafuji et al. | Feb 2009 | B2 |
7492632 | Carman | Feb 2009 | B2 |
7495473 | McCollum et al. | Feb 2009 | B2 |
7498675 | Farnworth et al. | Mar 2009 | B2 |
7499352 | Singh | Mar 2009 | B2 |
7499358 | Bauser | Mar 2009 | B2 |
7508034 | Takafuji et al. | Mar 2009 | B2 |
7514748 | Fazan et al. | Apr 2009 | B2 |
7521806 | Trezza | Apr 2009 | B2 |
7525186 | Kim et al. | Apr 2009 | B2 |
7535089 | Fitzgerald | May 2009 | B2 |
7541616 | Fazan et al. | Jun 2009 | B2 |
7547589 | Iriguchi | Jun 2009 | B2 |
7553745 | Lim | Jun 2009 | B2 |
7557367 | Rogers et al. | Jul 2009 | B2 |
7558141 | Katsumata et al. | Jul 2009 | B2 |
7563659 | Kwon et al. | Jul 2009 | B2 |
7566855 | Olsen et al. | Jul 2009 | B2 |
7566974 | Konevecki | Jul 2009 | B2 |
7586778 | Ho et al. | Sep 2009 | B2 |
7589375 | Jang et al. | Sep 2009 | B2 |
7608848 | Ho et al. | Oct 2009 | B2 |
7612411 | Walker | Nov 2009 | B2 |
7615462 | Kim et al. | Nov 2009 | B2 |
7622367 | Nuzzo et al. | Nov 2009 | B1 |
7632738 | Lee | Dec 2009 | B2 |
7633162 | Lee | Dec 2009 | B2 |
7666723 | Frank et al. | Feb 2010 | B2 |
7670912 | Yeo | Mar 2010 | B2 |
7671371 | Lee | Mar 2010 | B2 |
7671460 | Lauxtermann et al. | Mar 2010 | B2 |
7674687 | Henley | Mar 2010 | B2 |
7687372 | Jain | Mar 2010 | B2 |
7687872 | Cazaux | Mar 2010 | B2 |
7688619 | Lung et al. | Mar 2010 | B2 |
7692202 | Bensch | Apr 2010 | B2 |
7692448 | Solomon | Apr 2010 | B2 |
7692944 | Bernstein et al. | Apr 2010 | B2 |
7697316 | Lai et al. | Apr 2010 | B2 |
7709932 | Nemoto et al. | May 2010 | B2 |
7718508 | Lee | May 2010 | B2 |
7719876 | Chevallier | May 2010 | B2 |
7723207 | Alam et al. | May 2010 | B2 |
7728326 | Yamazaki et al. | Jun 2010 | B2 |
7732301 | Pinnington et al. | Jun 2010 | B1 |
7741673 | Tak et al. | Jun 2010 | B2 |
7742331 | Watanabe | Jun 2010 | B2 |
7745250 | Han | Jun 2010 | B2 |
7749884 | Mathew et al. | Jul 2010 | B2 |
7750669 | Spangaro | Jul 2010 | B2 |
7755622 | Yvon | Jul 2010 | B2 |
7759043 | Tanabe et al. | Jul 2010 | B2 |
7768115 | Lee et al. | Aug 2010 | B2 |
7772039 | Kerber | Aug 2010 | B2 |
7772096 | DeSouza et al. | Aug 2010 | B2 |
7774735 | Sood | Aug 2010 | B1 |
7776715 | Wells et al. | Aug 2010 | B2 |
7777330 | Pelley et al. | Aug 2010 | B2 |
7786460 | Lung et al. | Aug 2010 | B2 |
7786535 | Abou-Khalil et al. | Aug 2010 | B2 |
7790524 | Abadeer et al. | Sep 2010 | B2 |
7795619 | Hara | Sep 2010 | B2 |
7799675 | Lee | Sep 2010 | B2 |
7800099 | Yamazaki et al. | Sep 2010 | B2 |
7800148 | Lee et al. | Sep 2010 | B2 |
7800163 | Izumi et al. | Sep 2010 | B2 |
7800199 | Oh et al. | Sep 2010 | B2 |
7816721 | Yamazaki | Oct 2010 | B2 |
7843718 | Koh et al. | Nov 2010 | B2 |
7846814 | Lee | Dec 2010 | B2 |
7863095 | Sasaki et al. | Jan 2011 | B2 |
7864568 | Fujisaki et al. | Jan 2011 | B2 |
7867822 | Lee | Jan 2011 | B2 |
7888764 | Lee | Feb 2011 | B2 |
7910432 | Tanaka et al. | Mar 2011 | B2 |
7915164 | Konevecki et al. | Mar 2011 | B2 |
7919845 | Karp | Apr 2011 | B2 |
7965102 | Bauer et al. | Jun 2011 | B1 |
7968965 | Kim | Jun 2011 | B2 |
7969193 | Wu et al. | Jun 2011 | B1 |
7973314 | Yang | Jul 2011 | B2 |
7982250 | Yamazaki et al. | Jul 2011 | B2 |
7983065 | Samachisa | Jul 2011 | B2 |
8008732 | Kiyotoshi | Aug 2011 | B2 |
8013399 | Thomas et al. | Sep 2011 | B2 |
8014166 | Yazdani | Sep 2011 | B2 |
8014195 | Okhonin et al. | Sep 2011 | B2 |
8022493 | Bang | Sep 2011 | B2 |
8030780 | Kirby et al. | Oct 2011 | B2 |
8031544 | Kim et al. | Oct 2011 | B2 |
8032857 | Mcllrath | Oct 2011 | B2 |
8044448 | Kamigaichi et al. | Oct 2011 | B2 |
8044464 | Yamazaki et al. | Oct 2011 | B2 |
8068364 | Maejima | Nov 2011 | B2 |
8106520 | Keeth et al. | Jan 2012 | B2 |
8107276 | Breitwisch et al. | Jan 2012 | B2 |
8129256 | Farooq et al. | Mar 2012 | B2 |
8129258 | Hosier et al. | Mar 2012 | B2 |
8130547 | Widjaja et al. | Mar 2012 | B2 |
8136071 | Solomon | Mar 2012 | B2 |
8138502 | Nakamura et al. | Mar 2012 | B2 |
8153520 | Chandrashekar | Apr 2012 | B1 |
8158515 | Farooq et al. | Apr 2012 | B2 |
8178919 | Fujiwara et al. | May 2012 | B2 |
8183630 | Batude et al. | May 2012 | B2 |
8184463 | Saen et al. | May 2012 | B2 |
8185685 | Selinger | May 2012 | B2 |
8203187 | Lung et al. | Jun 2012 | B2 |
8208279 | Lue | Jun 2012 | B2 |
8209649 | Mcllrath | Jun 2012 | B2 |
8228684 | Losavio et al. | Jul 2012 | B2 |
8266560 | Mcllrath | Aug 2012 | B2 |
8264065 | Su et al. | Sep 2012 | B2 |
8288816 | Komori et al. | Oct 2012 | B2 |
8294199 | Yahashi et al. | Oct 2012 | B2 |
8324680 | Izumi et al. | Dec 2012 | B2 |
8338882 | Tanaka et al. | Dec 2012 | B2 |
8343851 | Kim et al. | Jan 2013 | B2 |
8354308 | Kang et al. | Jan 2013 | B2 |
8355273 | Liu | Jan 2013 | B2 |
8374033 | Kito et al. | Feb 2013 | B2 |
8426294 | Lung et al. | Apr 2013 | B2 |
8432719 | Lue | Apr 2013 | B2 |
8432751 | Hafez | Apr 2013 | B2 |
8455941 | Ishihara et al. | Jun 2013 | B2 |
8470689 | Desplobain et al. | Jun 2013 | B2 |
8497512 | Nakamura et al. | Jul 2013 | B2 |
8501564 | Suzawa | Aug 2013 | B2 |
8507972 | Oota et al. | Aug 2013 | B2 |
8508994 | Okhonin | Aug 2013 | B2 |
8513725 | Sakuma et al. | Aug 2013 | B2 |
8514623 | Widjaja et al. | Aug 2013 | B2 |
8516408 | Dell | Aug 2013 | B2 |
8566762 | Morimoto et al. | Aug 2013 | B2 |
8525342 | Chandrasekaran | Oct 2013 | B2 |
8546956 | Nguyen | Oct 2013 | B2 |
8603888 | Liu | Dec 2013 | B2 |
8611388 | Krasulick et al. | Dec 2013 | B2 |
8619490 | Yu | Dec 2013 | B2 |
8630326 | Krasulick et al. | Jan 2014 | B2 |
8643162 | Madurawe | Feb 2014 | B2 |
8650516 | Mcllrath | Feb 2014 | B2 |
8654584 | Kim et al. | Feb 2014 | B2 |
8679861 | Bose | Mar 2014 | B2 |
8736068 | Bartley et al. | May 2014 | B2 |
8773562 | Fan | Jul 2014 | B1 |
8775998 | Morimoto | Jul 2014 | B2 |
8824183 | Samachisa et al. | Sep 2014 | B2 |
8841777 | Farooq | Sep 2014 | B2 |
8853785 | Augendre | Oct 2014 | B2 |
8896054 | Sakuma et al. | Nov 2014 | B2 |
8928119 | Leedy | Jan 2015 | B2 |
8971114 | Kang | Mar 2015 | B2 |
9105689 | Fanelli | Aug 2015 | B1 |
9172008 | Hwang | Oct 2015 | B2 |
9227456 | Chien | Jan 2016 | B2 |
9230973 | Pachamuthu et al. | Jan 2016 | B2 |
9269608 | Fanelli | Feb 2016 | B2 |
9334582 | See | May 2016 | B2 |
9384152 | Best | Jul 2016 | B2 |
9391090 | Manorotkul et al. | Jul 2016 | B2 |
9472568 | Shin et al. | Oct 2016 | B2 |
9564450 | Sakuma et al. | Feb 2017 | B2 |
9570683 | Jo | Feb 2017 | B1 |
9589982 | Cheng et al. | Mar 2017 | B1 |
9595530 | Zhou | Mar 2017 | B1 |
9627287 | Engelhardt et al. | Apr 2017 | B2 |
9673257 | Takaki | Jun 2017 | B1 |
9997530 | Yon et al. | Jun 2018 | B2 |
10199354 | Modi et al. | Feb 2019 | B2 |
20010000005 | Forrest et al. | Mar 2001 | A1 |
20010014391 | Forrest et al. | Aug 2001 | A1 |
20010028059 | Emma et al. | Oct 2001 | A1 |
20020024140 | Nakajima et al. | Feb 2002 | A1 |
20020025604 | Tiwari | Feb 2002 | A1 |
20020074668 | Hofstee et al. | Jun 2002 | A1 |
20020081823 | Cheung et al. | Jun 2002 | A1 |
20020090758 | Henley et al. | Jul 2002 | A1 |
20020096681 | Yamazaki et al. | Jul 2002 | A1 |
20020113289 | Cordes et al. | Aug 2002 | A1 |
20020132465 | Leedy | Sep 2002 | A1 |
20020140091 | Callahan | Oct 2002 | A1 |
20020141233 | Hosotani et al. | Oct 2002 | A1 |
20020153243 | Forrest et al. | Oct 2002 | A1 |
20020153569 | Katayama | Oct 2002 | A1 |
20020175401 | Huang et al. | Nov 2002 | A1 |
20020180069 | Houston | Dec 2002 | A1 |
20020190232 | Chason | Dec 2002 | A1 |
20020199110 | Kean | Dec 2002 | A1 |
20030015713 | Yoo | Jan 2003 | A1 |
20030032262 | Dennison et al. | Feb 2003 | A1 |
20030059999 | Gonzalez | Mar 2003 | A1 |
20030060034 | Beyne et al. | Mar 2003 | A1 |
20030061555 | Kamei | Mar 2003 | A1 |
20030067043 | Zhang | Apr 2003 | A1 |
20030076706 | Andoh | Apr 2003 | A1 |
20030102079 | Kalvesten et al. | Jun 2003 | A1 |
20030107117 | Antonell et al. | Jun 2003 | A1 |
20030113963 | Wurzer | Jun 2003 | A1 |
20030119279 | Enquist | Jun 2003 | A1 |
20030139011 | Cleeves et al. | Jul 2003 | A1 |
20030153163 | Letertre | Aug 2003 | A1 |
20030157748 | Kim et al. | Aug 2003 | A1 |
20030160888 | Yoshikawa | Aug 2003 | A1 |
20030173631 | Murakami | Sep 2003 | A1 |
20030206036 | Or-Bach | Nov 2003 | A1 |
20030213967 | Forrest et al. | Nov 2003 | A1 |
20030224582 | Shimoda et al. | Dec 2003 | A1 |
20030224596 | Marxsen et al. | Dec 2003 | A1 |
20040007376 | Urdahl et al. | Jan 2004 | A1 |
20040014299 | Moriceau et al. | Jan 2004 | A1 |
20040033676 | Coronel et al. | Feb 2004 | A1 |
20040036126 | Chau et al. | Feb 2004 | A1 |
20040047539 | Okubora et al. | Mar 2004 | A1 |
20040061176 | Takafuji et al. | Apr 2004 | A1 |
20040113207 | Hsu et al. | Jun 2004 | A1 |
20040143797 | Nguyen | Jul 2004 | A1 |
20040150068 | Leedy | Aug 2004 | A1 |
20040150070 | Okada | Aug 2004 | A1 |
20040152272 | Fladre et al. | Aug 2004 | A1 |
20040155301 | Zhang | Aug 2004 | A1 |
20040156172 | Lin et al. | Aug 2004 | A1 |
20040156233 | Bhattacharyya | Aug 2004 | A1 |
20040164425 | Urakawa | Aug 2004 | A1 |
20040166649 | Bressot et al. | Aug 2004 | A1 |
20040174732 | Morimoto | Sep 2004 | A1 |
20040175902 | Rayssac et al. | Sep 2004 | A1 |
20040178819 | New | Sep 2004 | A1 |
20040195572 | Kato et al. | Oct 2004 | A1 |
20040219765 | Reif et al. | Nov 2004 | A1 |
20040229444 | Couillard | Nov 2004 | A1 |
20040259312 | Schlosser et al. | Dec 2004 | A1 |
20040262635 | Lee | Dec 2004 | A1 |
20040262772 | Ramanathan et al. | Dec 2004 | A1 |
20050003592 | Jones | Jan 2005 | A1 |
20050010725 | Eilert | Jan 2005 | A1 |
20050023656 | Leedy | Feb 2005 | A1 |
20050045919 | Kaeriyama et al. | Mar 2005 | A1 |
20050067620 | Chan et al. | Mar 2005 | A1 |
20050067625 | Hata | Mar 2005 | A1 |
20050073060 | Datta et al. | Apr 2005 | A1 |
20050082526 | Bedell et al. | Apr 2005 | A1 |
20050098822 | Mathew | May 2005 | A1 |
20050110041 | Boutros et al. | May 2005 | A1 |
20050121676 | Fried et al. | Jun 2005 | A1 |
20050121789 | Madurawe | Jun 2005 | A1 |
20050130351 | Leedy | Jun 2005 | A1 |
20050130429 | Rayssac et al. | Jun 2005 | A1 |
20050148137 | Brask et al. | Jul 2005 | A1 |
20050176174 | Leedy | Aug 2005 | A1 |
20050218521 | Lee | Oct 2005 | A1 |
20050224890 | Bernstein | Oct 2005 | A1 |
20050225237 | Winters | Oct 2005 | A1 |
20050266659 | Ghyselen et al. | Dec 2005 | A1 |
20050273749 | Kirk | Dec 2005 | A1 |
20050280061 | Lee | Dec 2005 | A1 |
20050280090 | Anderson et al. | Dec 2005 | A1 |
20050280154 | Lee | Dec 2005 | A1 |
20050280155 | Lee | Dec 2005 | A1 |
20050280156 | Lee | Dec 2005 | A1 |
20050282019 | Fukushima et al. | Dec 2005 | A1 |
20060014331 | Tang et al. | Jan 2006 | A1 |
20060024923 | Sarma et al. | Feb 2006 | A1 |
20060033110 | Alam et al. | Feb 2006 | A1 |
20060033124 | Or-Bach et al. | Feb 2006 | A1 |
20060043367 | Chang et al. | Feb 2006 | A1 |
20060049449 | Iino | Mar 2006 | A1 |
20060065953 | Kim et al. | Mar 2006 | A1 |
20060067122 | Verhoeven | Mar 2006 | A1 |
20060071322 | Kitamura | Apr 2006 | A1 |
20060071332 | Speers | Apr 2006 | A1 |
20060083280 | Tauzin et al. | Apr 2006 | A1 |
20060108613 | Song | May 2006 | A1 |
20060108627 | Choi | May 2006 | A1 |
20060113522 | Lee et al. | Jun 2006 | A1 |
20060118935 | Kamiyama et al. | Jun 2006 | A1 |
20060121690 | Pogge et al. | Jun 2006 | A1 |
20060146233 | Park | Jul 2006 | A1 |
20060150137 | Madurawe | Jul 2006 | A1 |
20060158511 | Harrold | Jul 2006 | A1 |
20060170046 | Hara | Aug 2006 | A1 |
20060179417 | Madurawe | Aug 2006 | A1 |
20060181202 | Liao et al. | Aug 2006 | A1 |
20060189095 | Ghyselen et al. | Aug 2006 | A1 |
20060194401 | Hu et al. | Aug 2006 | A1 |
20060195729 | Huppenthal et al. | Aug 2006 | A1 |
20060207087 | Jafri et al. | Sep 2006 | A1 |
20060224814 | Kim et al. | Oct 2006 | A1 |
20060237777 | Choi | Oct 2006 | A1 |
20060249859 | Eiles et al. | Nov 2006 | A1 |
20060275962 | Lee | Dec 2006 | A1 |
20070004150 | Huang | Jan 2007 | A1 |
20070014508 | Chen et al. | Jan 2007 | A1 |
20070035329 | Madurawe | Feb 2007 | A1 |
20070063259 | Derderian et al. | Mar 2007 | A1 |
20070072391 | Pocas et al. | Mar 2007 | A1 |
20070076509 | Zhang | Apr 2007 | A1 |
20070077694 | Lee | Apr 2007 | A1 |
20070077743 | Rao et al. | Apr 2007 | A1 |
20070090416 | Doyle et al. | Apr 2007 | A1 |
20070102737 | Kashiwabara et al. | May 2007 | A1 |
20070103191 | Sugawara et al. | May 2007 | A1 |
20070108523 | Ogawa et al. | May 2007 | A1 |
20070109831 | RaghuRam | May 2007 | A1 |
20070111386 | Kim et al. | May 2007 | A1 |
20070111406 | Joshi et al. | May 2007 | A1 |
20070132049 | Stipe | Jun 2007 | A1 |
20070132369 | Forrest et al. | Jun 2007 | A1 |
20070135013 | Faris | Jun 2007 | A1 |
20070141781 | Park | Jun 2007 | A1 |
20070158659 | Bensce | Jul 2007 | A1 |
20070158831 | Cha et al. | Jul 2007 | A1 |
20070176214 | Kwon et al. | Aug 2007 | A1 |
20070187775 | Okhonin et al. | Aug 2007 | A1 |
20070190746 | Ito et al. | Aug 2007 | A1 |
20070194453 | Chakraborty et al. | Aug 2007 | A1 |
20070206408 | Schwerin | Sep 2007 | A1 |
20070210336 | Madurawe | Sep 2007 | A1 |
20070211535 | Kim | Sep 2007 | A1 |
20070215903 | Sakamoto et al. | Sep 2007 | A1 |
20070218622 | Lee et al. | Sep 2007 | A1 |
20070228383 | Bernstein et al. | Oct 2007 | A1 |
20070252201 | Kito et al. | Nov 2007 | A1 |
20070252203 | Zhu et al. | Nov 2007 | A1 |
20070262457 | Lin | Nov 2007 | A1 |
20070275520 | Suzuki | Nov 2007 | A1 |
20070281439 | Bedell et al. | Dec 2007 | A1 |
20070283298 | Bernstein et al. | Dec 2007 | A1 |
20070287224 | Alam et al. | Dec 2007 | A1 |
20070296073 | Wu | Dec 2007 | A1 |
20070297232 | Iwata | Dec 2007 | A1 |
20080001204 | Lee | Jan 2008 | A1 |
20080003818 | Seidel et al. | Jan 2008 | A1 |
20080030228 | Amarilio | Feb 2008 | A1 |
20080032463 | Lee | Feb 2008 | A1 |
20080038902 | Lee | Feb 2008 | A1 |
20080048239 | Huo | Feb 2008 | A1 |
20080048327 | Lee | Feb 2008 | A1 |
20080054359 | Yang et al. | Mar 2008 | A1 |
20080067573 | Jang et al. | Mar 2008 | A1 |
20080070340 | Borrelli et al. | Mar 2008 | A1 |
20080072182 | He et al. | Mar 2008 | A1 |
20080099780 | Tran | May 2008 | A1 |
20080099819 | Kito et al. | May 2008 | A1 |
20080108171 | Rogers et al. | May 2008 | A1 |
20080123418 | Widjaja | May 2008 | A1 |
20080124845 | Yu et al. | May 2008 | A1 |
20080128745 | Mastro et al. | Jun 2008 | A1 |
20080128780 | Nishihara | Jun 2008 | A1 |
20080135949 | Lo et al. | Jun 2008 | A1 |
20080136455 | Diamant et al. | Jun 2008 | A1 |
20080142937 | Chen et al. | Jun 2008 | A1 |
20080142959 | DeMulder et al. | Jun 2008 | A1 |
20080143379 | Norman | Jun 2008 | A1 |
20080150579 | Madurawe | Jun 2008 | A1 |
20080160431 | Scott et al. | Jul 2008 | A1 |
20080160726 | Lim et al. | Jul 2008 | A1 |
20080165521 | Bernstein et al. | Jul 2008 | A1 |
20080175032 | Tanaka et al. | Jul 2008 | A1 |
20080179678 | Dyer et al. | Jul 2008 | A1 |
20080180132 | Ishikawa | Jul 2008 | A1 |
20080185648 | Jeong | Aug 2008 | A1 |
20080191247 | Yin et al. | Aug 2008 | A1 |
20080191312 | Oh et al. | Aug 2008 | A1 |
20080194068 | Temmler et al. | Aug 2008 | A1 |
20080203452 | Moon et al. | Aug 2008 | A1 |
20080213982 | Park et al. | Sep 2008 | A1 |
20080220558 | Zehavi et al. | Sep 2008 | A1 |
20080220565 | Hsu et al. | Sep 2008 | A1 |
20080224260 | Schmit et al. | Sep 2008 | A1 |
20080237591 | Leedy | Oct 2008 | A1 |
20080239818 | Mokhlesi | Oct 2008 | A1 |
20080242028 | Mokhlesi | Oct 2008 | A1 |
20080248618 | Ahn et al. | Oct 2008 | A1 |
20080251862 | Fonash et al. | Oct 2008 | A1 |
20080254561 | Yoo | Oct 2008 | A2 |
20080254572 | Leedy | Oct 2008 | A1 |
20080254623 | Chan | Oct 2008 | A1 |
20080261378 | Yao et al. | Oct 2008 | A1 |
20080266960 | Kuo | Oct 2008 | A1 |
20080272492 | Tsang | Nov 2008 | A1 |
20080277778 | Furman et al. | Nov 2008 | A1 |
20080283873 | Yang | Nov 2008 | A1 |
20080283875 | Mukasa et al. | Nov 2008 | A1 |
20080284611 | Leedy | Nov 2008 | A1 |
20080296681 | Georgakos et al. | Dec 2008 | A1 |
20080315253 | Yuan | Dec 2008 | A1 |
20080315351 | Kakehata | Dec 2008 | A1 |
20090001469 | Yoshida et al. | Jan 2009 | A1 |
20090001504 | Takei et al. | Jan 2009 | A1 |
20090016716 | Ishida | Jan 2009 | A1 |
20090026541 | Chung | Jan 2009 | A1 |
20090026618 | Kim | Jan 2009 | A1 |
20090032899 | Irie | Feb 2009 | A1 |
20090032951 | Andry et al. | Feb 2009 | A1 |
20090039918 | Madurawe | Feb 2009 | A1 |
20090052827 | Durfee et al. | Feb 2009 | A1 |
20090055789 | Mcllrath | Feb 2009 | A1 |
20090057879 | Garrou et al. | Mar 2009 | A1 |
20090061572 | Hareland et al. | Mar 2009 | A1 |
20090064058 | Mcllrath | Mar 2009 | A1 |
20090065827 | Hwang | Mar 2009 | A1 |
20090066365 | Solomon | Mar 2009 | A1 |
20090066366 | Solomon | Mar 2009 | A1 |
20090070721 | Solomon | Mar 2009 | A1 |
20090070727 | Solomon | Mar 2009 | A1 |
20090078970 | Yamazaki | Mar 2009 | A1 |
20090079000 | Yamazaki et al. | Mar 2009 | A1 |
20090081848 | Erokhin | Mar 2009 | A1 |
20090085217 | Knickerbocker | Apr 2009 | A1 |
20090087759 | Matsumoto et al. | Apr 2009 | A1 |
20090096009 | Dong et al. | Apr 2009 | A1 |
20090096024 | Shingu et al. | Apr 2009 | A1 |
20090108318 | Yoon et al. | Apr 2009 | A1 |
20090115042 | Koyanagi | May 2009 | A1 |
20090128189 | Madurawe et al. | May 2009 | A1 |
20090134397 | Yokoi et al. | May 2009 | A1 |
20090144669 | Bose et al. | Jun 2009 | A1 |
20090144678 | Bose et al. | Jun 2009 | A1 |
20090146172 | Pumyea | Jun 2009 | A1 |
20090159870 | Lin et al. | Jun 2009 | A1 |
20090160482 | Karp et al. | Jun 2009 | A1 |
20090161401 | Bigler et al. | Jun 2009 | A1 |
20090162993 | Yui et al. | Jun 2009 | A1 |
20090166627 | Han | Jul 2009 | A1 |
20090174018 | Dungan | Jul 2009 | A1 |
20090179268 | Abou-Khalil et al. | Jul 2009 | A1 |
20090185407 | Park | Jul 2009 | A1 |
20090194152 | Liu et al. | Aug 2009 | A1 |
20090194768 | Leedy | Aug 2009 | A1 |
20090194829 | Chung | Aug 2009 | A1 |
20090194836 | Kim | Aug 2009 | A1 |
20090204933 | Rezgui | Aug 2009 | A1 |
20090212317 | Kolodin et al. | Aug 2009 | A1 |
20090218627 | Zhu | Sep 2009 | A1 |
20090221110 | Lee et al. | Sep 2009 | A1 |
20090224330 | Hong | Sep 2009 | A1 |
20090224364 | Oh et al. | Sep 2009 | A1 |
20090230462 | Tanaka et al. | Sep 2009 | A1 |
20090234331 | Langereis et al. | Sep 2009 | A1 |
20090236749 | Otemba et al. | Sep 2009 | A1 |
20090242893 | Tomiyasu | Oct 2009 | A1 |
20090242935 | Fitzgerald | Oct 2009 | A1 |
20090250686 | Sato et al. | Oct 2009 | A1 |
20090262572 | Krusin-Elbaum | Oct 2009 | A1 |
20090262583 | Lue | Oct 2009 | A1 |
20090263942 | Ohnuma et al. | Oct 2009 | A1 |
20090267233 | Lee | Oct 2009 | A1 |
20090268983 | Stone et al. | Oct 2009 | A1 |
20090272989 | Shum et al. | Nov 2009 | A1 |
20090290434 | Kurjanowicz | Nov 2009 | A1 |
20090294822 | Batude et al. | Dec 2009 | A1 |
20090294836 | Kiyotoshi | Dec 2009 | A1 |
20090294861 | Thomas et al. | Dec 2009 | A1 |
20090294990 | Ishino et al. | Dec 2009 | A1 |
20090302294 | Kim | Dec 2009 | A1 |
20090302387 | Joshi et al. | Dec 2009 | A1 |
20090302394 | Fujita | Dec 2009 | A1 |
20090309152 | Knoefler et al. | Dec 2009 | A1 |
20090315095 | Kim | Dec 2009 | A1 |
20090317950 | Okihara | Dec 2009 | A1 |
20090321830 | Maly | Dec 2009 | A1 |
20090321853 | Cheng | Dec 2009 | A1 |
20090321948 | Wang et al. | Dec 2009 | A1 |
20090325343 | Lee | Dec 2009 | A1 |
20100001282 | Mieno | Jan 2010 | A1 |
20100013049 | Tanaka | Jan 2010 | A1 |
20100025766 | Nuttinck et al. | Feb 2010 | A1 |
20100025825 | DeGraw et al. | Feb 2010 | A1 |
20100031217 | Sinha et al. | Feb 2010 | A1 |
20100032635 | Schwerin | Feb 2010 | A1 |
20100038699 | Katsumata et al. | Feb 2010 | A1 |
20100038743 | Lee | Feb 2010 | A1 |
20100045849 | Yamasaki | Feb 2010 | A1 |
20100052134 | Werner et al. | Mar 2010 | A1 |
20100058580 | Yazdani | Mar 2010 | A1 |
20100059796 | Scheuerlein | Mar 2010 | A1 |
20100059864 | Mahler et al. | Mar 2010 | A1 |
20100078770 | Purushothaman et al. | Apr 2010 | A1 |
20100081232 | Furman et al. | Apr 2010 | A1 |
20100089627 | Huang et al. | Apr 2010 | A1 |
20100090188 | Fatasuyama | Apr 2010 | A1 |
20100112753 | Lee | May 2010 | A1 |
20100112810 | Lee et al. | May 2010 | A1 |
20100117048 | Lung et al. | May 2010 | A1 |
20100123202 | Hofmann | May 2010 | A1 |
20100123480 | Kitada et al. | May 2010 | A1 |
20100133695 | Lee | Jun 2010 | A1 |
20100133704 | Marimuthu et al. | Jun 2010 | A1 |
20100137143 | Rothberg et al. | Jun 2010 | A1 |
20100139836 | Horikoshi | Jun 2010 | A1 |
20100140790 | Setiadi et al. | Jun 2010 | A1 |
20100155932 | Gambino | Jun 2010 | A1 |
20100157117 | Wang | Jun 2010 | A1 |
20100159650 | Song | Jun 2010 | A1 |
20100181600 | Law | Jul 2010 | A1 |
20100190334 | Lee | Jul 2010 | A1 |
20100193884 | Park et al. | Aug 2010 | A1 |
20100193964 | Farooq et al. | Aug 2010 | A1 |
20100219392 | Awaya | Sep 2010 | A1 |
20100221867 | Bedell et al. | Sep 2010 | A1 |
20100224876 | Zhu | Sep 2010 | A1 |
20100224915 | Kawashima et al. | Sep 2010 | A1 |
20100225002 | Law et al. | Sep 2010 | A1 |
20100232200 | Shepard | Sep 2010 | A1 |
20100252934 | Law | Oct 2010 | A1 |
20100264551 | Farooq | Oct 2010 | A1 |
20100276662 | Colinge | Nov 2010 | A1 |
20100289144 | Farooq | Nov 2010 | A1 |
20100297844 | Yelehanka | Nov 2010 | A1 |
20100307572 | Bedell et al. | Dec 2010 | A1 |
20100308211 | Cho et al. | Dec 2010 | A1 |
20100308863 | Gliese et al. | Dec 2010 | A1 |
20100320514 | Tredwell | Dec 2010 | A1 |
20100320526 | Kidoh et al. | Dec 2010 | A1 |
20100330728 | McCarten | Dec 2010 | A1 |
20100330752 | Jeong | Dec 2010 | A1 |
20110001172 | Lee | Jan 2011 | A1 |
20110003438 | Lee | Jan 2011 | A1 |
20110024724 | Frolov et al. | Feb 2011 | A1 |
20110026263 | Xu | Feb 2011 | A1 |
20110027967 | Beyne | Feb 2011 | A1 |
20110037052 | Schmidt et al. | Feb 2011 | A1 |
20110042696 | Smith et al. | Feb 2011 | A1 |
20110049336 | Matsunuma | Mar 2011 | A1 |
20110050125 | Medendorp et al. | Mar 2011 | A1 |
20110053332 | Lee | Mar 2011 | A1 |
20110101537 | Barth et al. | May 2011 | A1 |
20110102014 | Madurawe | May 2011 | A1 |
20110111560 | Purushothaman | May 2011 | A1 |
20110115023 | Cheng | May 2011 | A1 |
20110128777 | Yamazaki | Jun 2011 | A1 |
20110134683 | Yamazaki | Jun 2011 | A1 |
20110143506 | Lee | Jun 2011 | A1 |
20110147791 | Norman et al. | Jun 2011 | A1 |
20110147849 | Augendre et al. | Jun 2011 | A1 |
20110159635 | Doan et al. | Jun 2011 | A1 |
20110170331 | Oh | Jul 2011 | A1 |
20110204917 | O'Neill | Aug 2011 | A1 |
20110221022 | Toda | Sep 2011 | A1 |
20110222356 | Banna | Sep 2011 | A1 |
20110227158 | Zhu | Sep 2011 | A1 |
20110241082 | Bernstein et al. | Oct 2011 | A1 |
20110284946 | Kiyotoshi | Nov 2011 | A1 |
20110284992 | Zhu | Nov 2011 | A1 |
20110286283 | Lung et al. | Nov 2011 | A1 |
20110304765 | Yogo et al. | Dec 2011 | A1 |
20110309432 | Ishihara et al. | Dec 2011 | A1 |
20110314437 | Mcllrath | Dec 2011 | A1 |
20120001184 | Ha et al. | Jan 2012 | A1 |
20120003815 | Lee | Jan 2012 | A1 |
20120013013 | Sadaka et al. | Jan 2012 | A1 |
20120025388 | Law et al. | Feb 2012 | A1 |
20120032250 | Son et al. | Feb 2012 | A1 |
20120034759 | Sakaguchi et al. | Feb 2012 | A1 |
20120063090 | Hsiao et al. | Mar 2012 | A1 |
20120074466 | Setiadi et al. | Mar 2012 | A1 |
20120086100 | Andry | Apr 2012 | A1 |
20120126197 | Chung | May 2012 | A1 |
20120146193 | Stuber et al. | Jun 2012 | A1 |
20120161310 | Brindle et al. | Jun 2012 | A1 |
20120169319 | Dennard | Jul 2012 | A1 |
20120178211 | Hebert | Jul 2012 | A1 |
20120181654 | Lue | Jul 2012 | A1 |
20120182801 | Lue | Jul 2012 | A1 |
20120187444 | Oh | Jul 2012 | A1 |
20120193785 | Lin | Aug 2012 | A1 |
20120200364 | Iizuka | Aug 2012 | A1 |
20120241919 | Mitani | Sep 2012 | A1 |
20120286822 | Madurawe | Nov 2012 | A1 |
20120304142 | Morimoto | Nov 2012 | A1 |
20120317528 | Mcllrath | Dec 2012 | A1 |
20120319728 | Madurawe | Dec 2012 | A1 |
20130026663 | Radu et al. | Jan 2013 | A1 |
20130037802 | England | Feb 2013 | A1 |
20130049796 | Pang | Feb 2013 | A1 |
20130070506 | Kajigaya | Mar 2013 | A1 |
20130082235 | Gu et al. | Apr 2013 | A1 |
20130097574 | Balabanov et al. | Apr 2013 | A1 |
20130100743 | Lue | Apr 2013 | A1 |
20130128666 | Avila | May 2013 | A1 |
20130187720 | Ishii | Jul 2013 | A1 |
20130193550 | Sklenard et al. | Aug 2013 | A1 |
20130196500 | Batude et al. | Aug 2013 | A1 |
20130203248 | Ernst et al. | Aug 2013 | A1 |
20130207243 | Fuergut | Aug 2013 | A1 |
20130263393 | Mazumder | Oct 2013 | A1 |
20130337601 | Kapur | Dec 2013 | A1 |
20140015136 | Gan et al. | Jan 2014 | A1 |
20140030871 | Arriagada et al. | Jan 2014 | A1 |
20140035616 | Oda et al. | Feb 2014 | A1 |
20140048867 | Toh | Feb 2014 | A1 |
20140099761 | Kim et al. | Apr 2014 | A1 |
20140103959 | Andreev | Apr 2014 | A1 |
20140117413 | Madurawe | May 2014 | A1 |
20140120695 | Ohtsuki | May 2014 | A1 |
20140131885 | Samadi et al. | May 2014 | A1 |
20140137061 | Mcllrath | May 2014 | A1 |
20140145347 | Samadi et al. | May 2014 | A1 |
20140146630 | Xie et al. | May 2014 | A1 |
20140149958 | Samadi et al. | May 2014 | A1 |
20140151774 | Rhie | Jun 2014 | A1 |
20140191357 | Lee | Jul 2014 | A1 |
20140225218 | Du | Aug 2014 | A1 |
20140225235 | Du | Aug 2014 | A1 |
20140252306 | Du | Sep 2014 | A1 |
20140253196 | Yang Du et al. | Sep 2014 | A1 |
20140264228 | Toh | Sep 2014 | A1 |
20140357054 | Son et al. | Dec 2014 | A1 |
20150021785 | Lin | Jan 2015 | A1 |
20150034898 | Wang | Feb 2015 | A1 |
20150243887 | Saitoh | Aug 2015 | A1 |
20150255418 | Gowda | Sep 2015 | A1 |
20150279829 | Kuo | Oct 2015 | A1 |
20150340369 | Lue | Nov 2015 | A1 |
20160049201 | Lue | Feb 2016 | A1 |
20160104780 | Mauder | Apr 2016 | A1 |
20160133603 | Ahn | May 2016 | A1 |
20160141299 | Hong | May 2016 | A1 |
20160141334 | Takaki | May 2016 | A1 |
20160307952 | Huang | Oct 2016 | A1 |
20160343687 | Vadhavkar | Nov 2016 | A1 |
20170069601 | Park | Mar 2017 | A1 |
20170092371 | Harari | Mar 2017 | A1 |
20170098596 | Lin | Apr 2017 | A1 |
20170148517 | Harari | May 2017 | A1 |
20170179146 | Park | Jun 2017 | A1 |
20170221900 | Widjaja | Aug 2017 | A1 |
20170278858 | Walker | Sep 2017 | A1 |
20180090219 | Harari | Mar 2018 | A1 |
20180090368 | Kim | Mar 2018 | A1 |
20180108416 | Harari | Apr 2018 | A1 |
20180294284 | Tarakji | Oct 2018 | A1 |
20190006009 | Harari | Jan 2019 | A1 |
20190043836 | Fastow | Feb 2019 | A1 |
20190067327 | Herner | Feb 2019 | A1 |
20190157296 | Harari et al. | May 2019 | A1 |
20200020408 | Norman | Jan 2020 | A1 |
20200020718 | Harari et al. | Jan 2020 | A1 |
20200051990 | Harari et al. | Feb 2020 | A1 |
20200105773 | Morris et al. | Apr 2020 | A1 |
20200227123 | Salahuddin et al. | Jul 2020 | A1 |
20200243486 | Quader et al. | Jul 2020 | A1 |
Number | Date | Country |
---|---|---|
1267594 | Dec 2002 | EP |
PCTUS2008063483 | May 2008 | WO |
Entry |
---|
Colinge, J. P., et al., “Nanowire transistors without Junctions”, Nature Nanotechnology, Feb. 21, 2010, pp. 1-5. |
Kim, J.Y., et al., “The breakthrough in data retention time of DRAM using Recess-Channel-Array Transistor (RCAT) for 88 nm feature size and beyond,” 2003 Symposium on VLSI Technology Digest of Technical Papers, pp. 11-12, Jun. 10-12, 2003. |
Kim, J.Y., et al., “The excellent scalability of the RCAT (recess-channel-array-transistor) technology for sub-70nm DRAM feature size and beyond,” 2005 IEEE VLSI-TSA International Symposium, pp. 33-34, Apr. 25-27, 2005. |
Abramovici, Breuer and Friedman, Digital Systems Testing and Testable Design, Computer Science Press, 1990, pp. 432-447. |
Yonehara, T., et al., “ELTRAN: SOI-Epi Wafer by Epitaxial Layer transfer from porous Silicon”, the 198th Electrochemical Society Meeting, abstract No. 438 (2000). |
Yonehara, T. et al., “Eltran®, Novel SOI Wafer Technology,” JSAP International, Jul. 2001, pp. 10-16, No. 4. |
Suk, S. D., et al., “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDM Tech. Dig., 2005, pp. 717-720. |
Bangsaruntip, S., et al., “High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling,” Electron Devices Meeting (IEDM), 2009 IEEE International, pp. 297-300, Dec. 7-9, 2009. |
Burr, G. W., et al., “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development, vol. 52, No. 4.5, pp. 449-464, Jul. 2008. |
Bez, R., et al., “Introduction to Flash memory,” Proceedings IEEE, 91(4), 489-502 (2003). |
Auth, C., et al., “45nm High-k + Metal Gate Strain-Enchanced Transistors,” Symposium on VLSI Technology Digest of Technical Papers, 2008, pp. 128-129. |
Jan, C. H., et al., “A 32nm SoC Platform Technology with 2nd Generation High-k/Metal Gate Transistors Optimized for Ultra Low Power, High Performance, and High Density Product Applications,” IEEE International Electronic Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4. |
Mistry, K., “A 45nm Logic Technology With High-K+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-Free Packaging,” Electron Devices Meeting, 2007, IEDM 2007, IEEE International, Dec. 10-12, 2007, p. 247. |
Ragnarsson, L., et al., “Ultralow-EOT (5 Å) Gate-First and Gate-Last High Performance CMOS Achieved by Gate-Electrode Optimization,” IEDM Tech. Dig., pp. 663-666, 2009. |
Sen, P & Kim, C.J., “A Fast Liquid-Metal Droplet Microswitch Using EWOD-Driven Contact-Line Sliding”, Journal of Microelectromechanical Systems, vol. 18, No. 1, Feb. 2009, pp. 174-185. |
Iwai, H., et.al., “NiSi Salicide Technology for Scaled CMOS,” Microelectronic Engineering, 60 (2002), pp. 157-169. |
Froment, B., et.al., “Nickel vs. Cobalt Silicide integration for sub-50nm CMOS”, IMEC ESS Circuits, 2003. pp. 215-219. |
James, D., “65 and 45-nm Devices—an Overview”, Semicon West, Jul. 2008, paper No. ctr_024377. |
Davis, J.A., et.al., “Interconnect Limits on Gigascale Integration(GSI) in the 21st Century”, Proc. IEEE, vol. 89, No. 3, pp. 305-324, Mar. 2001. |
Shino, T., et al., “Floating Body RAM Technology and its Scalability to 32nm Node and Beyond,” Electron Devices Meeting, 2006, IEDM '06, International, pp. 1-4, Dec. 11-13, 2006. |
Hamamoto, T., et al., “Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond”, Solid-State Electronics, vol. 53, Issue 7, Papers Selected from the 38th European Solid-State Device Research Conference—ESSDERC'08, Jul. 2009, pp. 676-683. |
Okhonin, S., et al., “New Generation of Z-RAM”, Electron Devices Meeting, 2007. IEDM 2007. IEEE International, pp. 925-928, Dec. 10-12, 2007. |
Henttinen, K. et al., “Mechanically Induced Si Layer Transfer in Hydrogen-Implanted Si Wafers,” Applied Physics Letters, Apr. 24, 2000, p. 2370-2372, vol. 76, No. 17. |
Lee, C.-W., et al., “Junctionless multigate field-effect transistor,” Applied Physics Letters, vol. 94, pp. 053511-1 to 053511-2, 2009. |
Park, S. G., et al., “Implementation of HfSiON gate dielectric for sub-60nm DRAM dual gate oxide with recess channel array transistor (RCAT) and tungsten gate,” International Electron Devices Meeting, IEDM 2004, pp. 515-518, Dec. 13-15, 2004. |
Kim, J.Y., et al., “S-RCAT (sphere-shaped-recess-channel-array transistor) technology for 70nm DRAM feature size and beyond,” 2005 Symposium on VLSI Technology Digest of Technical Papers, 2005 pp. 34-35, Jun. 14-16, 2005. |
Oh, H.J., et al., “High-density low-power-operating DRAM device adopting 6F2 cell scheme with novel S-RCAT structure on 80nm feature size and beyond,” Solid-State Device Research Conference, ESSDERC 2005. Proceedings of 35th European , pp. 177-180, Sep. 12-16, 2005. |
Chung, S.-W., et al., “Highly Scalable Saddle-Fin (S-Fin) Transistor for Sub-50nm DRAM Technology,” 2006 Symposium on VLSI Technology Digest of Technical Papers, pp. 32-33. |
Lee, M. J., et al., “A Proposal on an Optimized Device Structure With Experimental Studies on Recent Devices for the DRAM Cell Transistor,” IEEE Transactions on Electron Devices, vol. 54, No. 12, pp. 3325-3335, Dec. 2007. |
Henttinen, K. et al., “Cold ion-cutting of hydrogen implanted Si,” J. Nucl. Instr. and Meth. in Phys. Res. B, 2002, pp. 761-766, vol. 190. |
Brumfiel, G., “Solar cells sliced and diced”, May 19, 2010, Nature News. |
Dragoi, et al., “Plasma-activated wafer bonding: the new low-temperature tool for MEMS fabrication”, Proc. SPIE, vol. 6589, 65890T (2007). |
Vengurlekar, A., et al., “Mechanism of Dopant Activation Enhancement in Shallow Junctions by Hydrogen”, Proceedings of the Materials Research Society, vol. 864, Spring 2005, E9.28.1-6. |
Yamada, M. et al., “Phosphor Free High-Luminous-Efficiency White Light-Emitting Diodes Composed of InGaN Multi-Quantum Well,” Japanese Journal of Applied Physics, 2002, pp. L246-L248, vol. 41. |
Guo, X. et al., “Cascade single-chip phosphor-free white light emitting diodes,” Applied Physics Letters, 2008, pp. 013507-1-013507-3, vol. 92. |
Takafuji, Y. et al., “Integration of Single Crystal Si TFTs and Circuits on a Large Glass Substrate,” IEEE International Electron Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4. |
Wierer, J.J. et al., “High-power AlGaInN flip-chip light-emitting diodes,” Applied Physics Letters, May 28, 2001, pp. 3379-3381, vol. 78, No. 22. |
El-Gamal, A., “Trends in CMOS Image Sensor Technology and Design,” International Electron Devices Meeting Digest of Technical Papers, Dec. 2002. |
Ahn, S.W., “Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography,” Nanotechnology, 2005, pp. 1874-1877, vol. 16, No. 9. |
Johnson, R.C., “Switching LEDs on and off to enlighten wireless communications,” EE Times, Jun. 2010, last accessed Oct. 11, 2010, <http://www.embeddedinternetdesign.com/design/225402094>. |
Ohsawa, et al., “Autonomous Refresh of Floating Body Cell (FBC)”, International Electron Device Meeting, 2008, pp. 801-804. |
Chen, P., et al., “Effects of Hydrogen Implantation Damage on the Performance of InP/InGaAs/InP p-i-n Photodiodes, Transferred on Silicon,” Applied Physics Letters, vol. 94, No. 1, Jan. 2009, pp. 012101-1 to 012101-3. |
Lee, D., et al., “Single-Crystalline Silicon Micromirrors Actuated by Self-Aligned Vertical Electrostatic Combdrives with Piston-Motion and Rotation Capability,” Sensors and Actuators A114, 2004, pp. 423-428. |
Shi, X., et al., “Characterization of Low-Temperature Processed Single-Crystalline Silicon Thin-Film Transistor on Glass,” IEEE Electron Device Letters, vol. 24, No. 9, Sep. 2003, pp. 574-576. |
Chen, W., et al., “InP Layer Transfer with Masked Implantation,” Electrochemical and Solid-State Letters, Issue 12, No. 4, Apr. 2009, H149-150. |
Feng, J., et al., “Integration of Germanium-on-Insulator and Silicon MOSFETs on a Silicon Substrate,” IEEE Electron Device Letters, vol. 27, No. 11, Nov. 2006, pp. 911-913. |
Zhang, S., et al., “Stacked CMOS Technology on SOI Substrate,” IEEE Electron Device Letters, vol. 25, No. 9, Sep. 2004, pp. 661-663. |
Brebner, G., “Tooling up for Reconfigurable System Design,” IEE Colloquium on Reconfigurable Systems, 1999, Ref. No. 1999/061, pp. 2/1-2/4. |
Bae, Y.-D., “A Single-Chip Programmable Platform Based on a Multithreaded Processor and Configurable Logic Clusters,” 2002 IEEE International Solid-State Circuits Conference, Feb. 3-7, 2002, Digest of Technical Papers, ISSCC, vol. 1, pp. 336-337. |
Lu, N.C.C., et al., “A Buried-Trench DRAM Cell Using a Self-aligned Epitaxy Over Trench Technology,” Electron Devices Meeting, IEDM '88 Technical Digest, International, 1988, pp. 588-591. |
Valsamakis, E.A., “Generator for a Custom Statistical Bipolar Transistor Model,” IEEE Journal of Solid-State Circuits, Apr. 1985, pp. 586-589, vol. SC-20, No. 2. |
Srivastava, P. et al., “Silicon Substrate Removal of GaN DHFETs for enhanced (>1100V) Breakdown Voltage,” Aug. 2010, IEEE Electron Device Letters, vol. 31, No. 8, pp. 851-852. |
Gosele, U., et al., “Semiconductor Wafer Bonding,” Annual Review of Materials Science, Aug. 1998, pp. 215-241, vol. 28. |
Spangler, L.J. et al., “A Technology for High Performance Single-Crystal Silicon-on-Insulator Transistors,” IEEE Electron Device Letters, Apr. 1987, pp. 137-139, vol. 8, No. 4. |
Larrieu, G., et al., “Low Temperature Implementation of Dopant-Segregated Band-edger Metallic S/D junctions in Thin-Body SOI p-MOSFETs”, Proceedings IEDM, 2007, pp. 147-150. |
Qui, Z., et al., “A Comparative Study of Two Different Schemes to Dopant Segregation at NiSi/Si and PtSi/Si Interfaces for Schottky Barrier Height Lowering”, IEEE Transactions on Electron Devices, vol. 55, No. 1, Jan. 2008, pp. 396-403. |
Khater, M.H., et al., “High-k/Metal-Gate Fully Depleted SOI CMOS With Single-Silicide Schottky Source/Drain With Sub-30-nm Gate Length”, IEEE Electron Device Letters, vol. 31, No. 4, Apr. 2010, pp. 275-277. |
Abramovici, M., “In-system silicon validation and debug”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 216-223. |
Saxena, P., et al., “Repeater Scaling and Its Impact on CAD”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, No. 4, Apr. 2004. |
Abrmovici, M., et al., A reconfigurable design-for-debug infrastructure for SoCs, (2006) Proceedings—Design Automation Conference, pp. 7-12. |
Anis, E., et al., “Low cost debug architecture using lossy compression for silicon debug”, (2007) Proceedings of the IEEE/ACM Design, pp. 225-230. |
Anis, E., et al., “On using lossless compression of debug data in embedded logic analysis”, (2007) Proceedings of the IEEE International Test Conference, paper 18.3, pp. 1-10. |
Boule, M., et al., “Adding debug enhancements to assertion checkers for hardware emulation and silicon debug”, (2006) Proceedings of the IEEE International Conference on Computer Design, pp. 294-299. |
Boule, M., et al., “Assertion checkers in verification, silicon debug and in-field diagnosis”, (2007) Proceedings—Eighth International Symposium on Quality Electronic Design, ISQED 2007, pp. 613-618. |
Burtscher, M., et al., “The VPC trace-compression algorithms”, (2005) IEEE Transactions on Computers, 54 (11), Nov. 2005, pp. 1329-1344. |
Frieden, B., “Trace port on powerPC 405 cores”, (2007) Electronic Product Design, 28 (6), pp. 12-14. |
Hopkins, A.B.T., et al., “Debug support for complex systems on-chip: a review”, (2006) IEEE Proceedings: Computers and Digital Techniques, 153 (4), Jul. 2006, pp. 197-207. |
Hsu, Y.-C., et al., “Visibility enhancement for silicon debug”, (2006) Proceedings—Design Automation Conference, Jul. 24-28, 2006, San Francisco, pp. 13-18. |
Josephson, D., et al., “The crazy mixed up world of silicon debug”, (2004) Proceedings of the Custom Integrated Circuits Conference, paper 30-1, pp. 665-670. |
Josephson, D.D., “The manic depression of microprocessor debug”, (2002) IEEE International Test Conference (TC), paper 23.4, pp. 657-663. |
Ko, H.F., et al., “Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (2), pp. 285-297. |
Ko, H.F., et al., “Distributed embedded logic analysis for post-silicon validation of SOCs”, (2008) Proceedings of the IEEE International Test Conference, paper 16.3, pp. 755-763. |
Ko, H.F., et al., “Functional scan chain design at RTL for skewed-load delay fault testing”, (2004) Proceedings of the Asian Test Symposium, pp. 454-459. |
Ko, H.F., et al., “Resource-efficient programmable trigger units for post-silicon validation”, (2009) Proceedings of the 14th IEEE European Test Symposium, ETS 2009, pp. 17-22. |
Liu, X., et al., “On reusing test access mechanisms for debug data transfer in SoC post-silicon validation”, (2008) Proceedings of the Asian Test Symposium, pp. 303-308. |
Liu, X., et al., “Trace signal selection for visibility enhancement in post-silicon validation”, (2009) Proceedings Date, pp. 1338-1343. |
Mclaughlin, R., et al., “Automated debug of speed path failures using functional tests”, (2009) Proceedings of the IEEE VLSI Test Symposium, pp. 91-96. |
Morris, K., “On-Chip Debugging—Built-in Logic Analyzers on your FPGA”, (2004) Journal of FPGA and Structured ASIC, 2 (3). |
Nicolici, N., et al., “Design-for-debug for post-silicon validation: Can high-level descriptions help?”, (2009) Proceedings—IEEE International High-Level Design Validation and Test Workshop, HLDVT, pp. 172-175. |
Park, S.-B., et al., “IFRA: Instruction Footprint Recording and Analysis for Post-Silicon Bug Localization”, (2008) Design Automation Conference (DAC08), Jun. 8-13, 2008, Anaheim, CA, USA, pp. 373-378. |
Park, S.-B., et al., “Post-silicon bug localization in processors using instruction footprint recording and analysis (IFRA)”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (10), pp. 1545-1558. |
Moore, B., et al., “High Throughput Non-contact SiP Testing”, (2007) Proceedings—International Test Conference, paper 12.3. |
Riley, M.W., et al., “Cell broadband engine debugging for unknown events”, (2007) IEEE Design and Test of Computers, 24 (5), pp. 486-493. |
Vermeulen, B., “Functional debug techniques for embedded systems”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 208-215. |
Vermeulen, B., et al., “Automatic Generation of Breakpoint Hardware for Silicon Debug”, Proceeding of the 41st Design Automation Conference, Jun. 7-11, 2004, p. 514-517. |
Vermeulen, B., et al., “Design for debug: Catching design errors in digital chips”, (2002) IEEE Design and Test of Computers, 19 (3), pp. 37-45. |
Vermeulen, B., et al., “Core-based scan architecture for silicon debug”, (2002) IEEE International Test Conference (TC), pp. 638-647. |
Vanrootselaar, G. J., et al., “Silicon debug: scan chains alone are not enough”, (1999) IEEE International Test Conference (TC), pp. 892-902. |
Kim, G.-S., et al., “A 25-mV-sensitivity 2-GB/s optimum-logic-threshold capacitive-coupling receiver for wireless wafer probing systems”, (2009) IEEE Transactions on Circuits and Systems II: Express Briefs, 56 (9), pp. 709-713. |
Sellathamby, C.V., et al., “Non-contact wafer probe using wireless probe cards”, (2005) Proceedings—International Test Conference, 2005, pp. 447-452. |
Jung, S.-M., et al., “Soft Error Immune 0.46pm2 SRAM Cell with MIM Node Capacitor by 65nm CMOS Technology for Ultra High Speed SRAM”, IEDM 2003, pp. 289-292. |
Brillouet, M., “Emerging Technologies on Silicon”, IEDM 2004, pp. 17-24. |
Meindl, J. D., “Beyond Moore's Law: the Interconnect Era”, IEEE Computing in Science & Engineering, Jan./Feb. 2003, pp. 20-24. |
Lin, X., et al., “Local Clustering 3-D Stacked CMOS Technology for Interconnect Loading Reduction”, IEEE Transactions on electron Devices, vol. 53, No. 6, Jun. 2006, pp. 1405-1410. |
He, T., et al., “Controllable Molecular Modulation of Conductivity in Silicon-Based Devices”, J. Am. Chem. Soc. 2009, 131, 10023-10030. |
Henley, F., “Engineered Substrates Using the Nanocleave Process”, SemiconWest, TechXPOT Conference—Challenges in Device Scaling, Jul. 19, 2006, San Francisco. |
Diamant, G., et al., “Integrated Circuits based on Nanoscale Vacuum Phototubes”, Applied Physics Letters 92, 262903-1 to 262903-3 (2008). |
Landesberger, C., et al., “Carrier techniques for thin wafer processing”, CS MANTECH Conference, May 14-17, 2007 Austin, Texas, pp. 33-36. |
Shen, W., et al., “Mercury Droplet Micro switch for Re-configurable Circuit Interconnect”, The 12th International Conference on Solid State Sensors, Actuators and Microsystems. Boston, Jun. 8-12, 2003, pp. 464-467. |
Bangsaruntip, S., et al., “Gate-all-around Silicon Nanowire 25-Stage CMOS Ring Oscillators with Diameter Down to 3 nm”, 2010 Symposium on VLSI Technology Digest of papers, pp. 21-22. |
Borland, J.O., “Low Temperature Activation of Ion Implanted Dopants: a Review”, International Workshop on Junction technology 2002, S7-3, Japan Society of Applied Physics, pp. 85-88. |
Vengurlekar, A., et al., “Hydrogen Plasma Enhancement of Boron Activation in Shallow Junctions”, Applied Physics Letters, vol. 85, No. 18, Nov. 1, 2004, pp. 4052-4054. |
El-Maleh, A. H., et al., “Transistor-Level Defect Tolerant Digital System Design at the Nanoscale”, Research Proposal Submitted to Internal Track Research Grant Programs, 2007. Internal Track Research Grant Programs. |
Austin, T., et al., “Reliable Systems on Unreliable Fabrics”, IEEE Design & Test of Computers, Jul./Aug. 2008, vol. 25, issue 4, pp. 322-332. |
Borkar, S., “Designing Reliable Systems from Unreliable Components: the Challenges of Transistor Variability and Degradation”, IEEE Micro, IEEE Computer Society, Nov.-Dec. 2005, pp. 10-16. |
Zhu, S., et al., “N-Type Schottky Barrier Source/Drain MOSFET Using Ytterbium Silicide”, IEEE Electron Device Letters, vol. 25, No. 8, Aug. 2004, pp. 565-567. |
Zhang, Z., et al., “Sharp Reduction of Contact Resistivities by Effective Schottky Barrier Lowering With Silicides as Diffusion Sources,” IEEE Electron Device Letters, vol. 31, No. 7, Jul. 2010, pp. 731-733. |
Lee, R.T.P., et al., “Novel Epitaxial Nickel Aluminide-Silicide with Low Schottky-Barrier and Series Resistance for Enhanced Performance of Dopant-Segregated Source/Drain N-channel MuGFETs”, 2007 Symposium on VLSI Technology Digest of Technical Papers, pp. 108-109. |
Awano, M., et al., “Advanced DSS MOSFET Technology for Ultrahigh Performance Applications”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 24-25. |
Choi, S.-J., et al., “Performance Breakthrough in NOR Flash Memory with Dopant-Segregated Schottky-Barrier (DSSB) SONOS Devices”, 2009 Symposium of VLSI Technology Digest, pp. 222-223. |
Zhang, M., et al., “Schottky barrier height modulation using dopant segregation in Schottky-barrier SOI-MOSFETs”, Proceeding of ESSDERC, Grenoble, France, 2005, pp. 457-460. |
Larrieu, G., et al., “Arsenic-Segregated Rare-Earth Silicide Junctions: Reduction of Schottky Barrier and Integration in Metallic n-MOSFETs on SOI”, IEEE Electron Device Letters, vol. 30, No. 12, Dec. 2009, pp. 1266-1268. |
Ko, C.H., et al., “NiSi Schottky Barrier Process-Strained Si (SB-PSS) CMOS Technology for High Performance Applications”, 2006 Symposium on VLSI Technology Digest of Technical Papers. |
Kinoshita, A., et al., “Solution for High-Performance Schottky-Source/Drain MOSFETs: Schottky Barrier Height Engineering with Dopant Segregation Technique”, 2004 Symposium on VLSI Technology Digest of Technical Papers, pp. 168-169. |
Kinoshita, A., et al., “High-performance 50-nm-Gate-Length Schottky-Source/Drain MOSFETs with Dopant-Segregation Junctions”, 2005 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159. |
Kaneko, A., et al., “High-Performance FinFET with Dopant-Segregated Schottky Source/Drain”, IEDM 2006. |
Kinoshita, A., et al., “Ultra Low Voltage Operations in Bulk CMOS Logic Circuits with Dopant Segregated Schottky Source/Drain Transistors”, IEDM 2006. |
Kinoshita, A., et al., “Comprehensive Study on Injection Velocity Enhancement in Dopant-Segregated Schottky MOSFETs”, IEDM 2006. |
Choi, S.-J., et al., “High Speed Flash Memory and 1T-DRAM on Dopant Segregated Schottky Barrier (DSSB) FinFET SONOS Device for Multi-functional SoC Applications”, 2008 IEDM, pp. 223-226. |
Chin, Y.K., et al., “Excimer Laser-Annealed Dopant Segregated Schottky (ELA-DSS) Si Nanowire Gate-All-Around (GAA) pFET with Near Zero Effective Schottky Barrier Height (SBH)”, IEDM 2009, pp. 935-938. |
Agoura Technologies white paper, “Wire Grid Polarizers: a New High Contrast Polarizer Technology for Liquid Crystal Displays”, 2008, pp. 1-12. |
Unipixel Displays, Inc. white paper, “Time Multi-plexed Optical Shutter (TMOS) Displays”, Jun. 2007, pp. 1-49. |
Azevedo, I. L., et al., “The Transition to Solid-State Lighting”, Proc. IEEE, vol. 97, No. 3, Mar. 2009, pp. 481-510. |
Crawford, M.H., “LEDs for Solid-State Lighting: Performance Challenges and Recent Advances”, IEEE Journal of Selected Topics in Quantum Electronics, vol. 15, No. 4, Jul./Aug. 2009, pp. 1028-1040. |
Tong, Q.-Y., et al., “A “smarter-cut” approach to low temperature silicon layer transfer”, Applied Physics Letters, vol. 72, No. 1, Jan. 5, 1998, pp. 49-51. |
Tong, Q.-Y., et al., “Low Temperature Si Layer Splitting”, Proceedings 1997 IEEE International SOI Conference, Oct. 1997, pp. 126-127. |
Nguyen, P., et al., “Systematic study of the splitting kinetic of H/He co-implanted substrate”, SOI Conference, 2003, pp. 132-134. |
Ma, X., et al., “A high-quality SOI structure fabricated by low-temperature technology with B+/H+ co-implantation and plasma bonding”, Semiconductor Science and Technology, vol. 21, 2006, pp. 959-963. |
Yu, C.Y., et al., “Low-temperature fabrication and characterization of Ge-on-insulator structures”, Applied Physics Letters, vol. 89, 101913-1 to 101913-2 (2006). |
Li, Y. A., et al., “Surface Roughness of Hydrogen Ion Cut Low Temperature Bonded Thin Film Layers”, Japan Journal of Applied Physics, vol. 39 (2000), Part 1, No. 1, pp. 275-276. |
Hoechbauer, T., et al., “Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers”, Nuclear Instruments and Methods in Physics Research B, vol. 216 (2004), pp. 257-263. |
Aspar, B., et al., “Transfer of structured and patterned thin silicon films using the Smart-Cut process”, Electronics Letters, Oct. 10, 1996, vol. 32, No. 21, pp. 1985-1986. |
Agarwal, A., et al., “Efficient production of silicon-on-insulator films by co-implantation of He+ with H+” Applied Physics Letters, vol. 72, No. 9, Mar. 1998, pp. 1086-1088. |
Cook III, G. O., et al., “Overview of transient liquid phase and partial transient liquid phase bonding,” Journal of Material Science, vol. 46, 2011, pp. 5305-5323. |
Moustris, G. P., et al., “Evolution of autonomous and semi-autonomous robotic surgical systems: a review of the literature,” International Journal of Medical Robotics and Computer Assisted Surgery, Wiley Online Library, 2011, DOI: 10.10002/rcs.408. |
Subbarao, M., et al., “Depth from Defocus: a Spatial Domain Approach,” International Journal of Computer Vision, vol. 13, No. 3, pp. 271-294 (1994). |
Subbarao, M., et al., “Focused Image Recovery from Two Defocused Images Recorded with Different Camera Settings,” IEEE Transactions on Image Processing, vol. 4, No. 12, Dec. 1995, pp. 1613-1628. |
Guseynov, N. A., et al., “Ultrasonic Treatment Restores the Photoelectric Parameters of Silicon Solar Cells Degraded under the Action of 60Cobalt Gamma Radiation,” Technical Physics Letters, vol. 33, No. 1, pp. 18-21 (2007). |
Gawlik, G., et al., “GaAs on Si: towards a low-temperature “smart-cut” technology”, Vacuum, vol. 70, pp. 103-107 (2003). |
Weldon, M. K., et al., “Mechanism of Silicon Exfoliation Induced by Hydrogen/Helium Co-implantation,” Applied Physics Letters, vol. 73, No. 25, pp. 3721-3723 (1998). |
Miller, D.A.B., “Optical interconnects to electronic chips,” Applied Optics, vol. 49, No. 25, Sep. 1, 2010, pp. F59-F70. |
En, W. G., et al., “The Genesis Process”: A New SOI wafer fabrication method, Proceedings 1998 IEEE International SOI Conference, Oct. 1998, pp. 163-164. |
Uchikoga, S., et al., “Low temperature poly-Si TFT-LCD by excimer laser anneal,” Thin Solid Films, vol. 383 (2001), pp. 19-24. |
He, M., et al., “Large Polycrystalline Silicon Grains Prepared by Excimer Laser Crystallization of Sputtered Amorphous Silicon Film with Process Temperature at 100 C,” Japanese Journal of Applied Physics, vol. 46, No. 3B, 2007, pp. 1245-1249. |
Kim, S.D., et al., “Advanced source/drain engineering for box-shaped ultra shallow junction formation using laser annealing and pre-amorphization implantation in sub-100-nm SOI CMOS,” IEEE Trans. Electron Devices, vol. 49, No. 10, pp. 1748-1754, Oct. 2002. |
Ahn, J., et al., “High-quality MOSFET's with ultrathin LPCVD gate SiO2,” IEEE Electron Device Lett., vol. 13, No. 4, pp. 186-188, Apr. 1992. |
Yang, M., et al., “High Performance CMOS Fabricated on Hybrid Substrate with Different Crystal Orientation,” Proceedings IEDM 2003. |
Yin, H., et al., “Scalable 3-D finlike poly-Si TFT and its nonvolatile memory application,” IEEE Trans. Electron Devices, vol. 55, No. 2, pp. 578-584, Feb. 2008. |
Kawaguchi, N., et al., “Pulsed Green-Laser Annealing for Single-Crystalline Silicon Film Transferred onto Silicon wafer and Non-alkaline Glass by Hydrogen-Induced Exfoliation,” Japanese Journal of Appl,ied Physics, vol. 46, No. 1, 2007, pp. 21-23. |
Faynot, O. et al., “Planar Fully depleted SOI technology: a Powerful architecture for the 20nm node and beyond,” Electron Devices Meeting (IEDM), 2010 IEEE International, vol. No. pp. 3.2.1, 3.2.4, Dec. 6-8, 2010. |
Khakifirooz, A., “ETSOI Technology for 20nm and Beyond”, SOI Consortium Workshop: Fully Depleted SOI, Apr. 28, 2011, Hsinchu Taiwan. |
Kim, I.-K., et al., “Advanced Integration Technology for a Highly Scalable SOI DRAM with SOC (Silicon-On-Capacitors)”, IEDM 1996, pp. 96-605-608, 22.5.4. |
Lee, B.H., et al., “A Novel CMP Method for cost-effective Bonded SOI Wafer Fabrication,” Proceedings 1995 IEEE International SOI Conference, Oct. 1995, pp. 60-61. |
Choi, Sung-Jin, et al., “Performance Breakthrough in NOR Flash Memory with Dopant-Segregated Schottky-Barrier (DSSB) SONOS Devices,” paper 11B-3, 2009 Symposium on VLSI Technology, Digest of Technical Papers, pp. 222-223. |
Chang, Wei, et al., “Drain-induced Schottky barrier source-side hot carriers and its application to program local bits of nanowire charge-trapping memories,” Japanese Journal of Applied Physics 53, 094001 (2014) pp. 094001-1 to 094001-5. |
Topol, A.W., et al., “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, Dec. 5, 2005, pp. 363-366. |
Demeester, P. et al., “Epitaxial lift-off and its applications,” Semicond. Sci. Technol., 1993, pp. 1124-1135, vol. 8. |
Yoon, J., et al., “GaAs Photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies”, Nature, vol. 465, May 20, 2010, pp. 329-334. |
Bakir and Meindl, “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009, Chapter 13, pp. 389-419. |
Tanaka, H., et al., “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on , vol. No. pp. 14-15, Jun. 12-14, 2007. |
Lue, H.-T., et al., “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010, pp. 131-132. |
Kim, W., et al., “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology Digest of Technical Papers, 2009, pp. 188-189. |
Dicioccio, L., et al., “Direct bonding for wafer level 3D integration”, ICICDT 2010, pp. 110-113. |
Kim, W., et al., “Multi-Layered Vertical Gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage,” Symposium on VLSI Technology, 2009, pp. 188-189. |
Walker, A. J., “Sub-50nm Dual-Gate Thin-Film Transistors for Monolithic 3-D Flash”, IEEE Trans. Elect. Dev., vol. 56, No. 11, pp. 2703-2710, Nov. 2009. |
Hubert, A., et al., “A Stacked SONOS Technology, Up to 4 Levels and 6nm Crystalline Nanowires, with Gate-All-Around or Independent Gates (ϕFlash), Suitable for Full 3D Integration”, International Electron Devices Meeting, 2009, pp. 637-640. |
Celler, G.K. et al., “Frontiers of silicon-on-insulator,” J. App. Phys., May 1, 2003, pp. 4955-4978, vol. 93, No. 9. |
Rajendran, B., et al., “Electrical Integrity of MOS Devices in Laser Annealed 3D IC Structures”, proceedings VLSI Multi Level Interconnect Conference 2004, pp. 73-74. |
Rajendran, B., “Sequential 3D IC Fabrication: Challenges and Prospects”, Proceedings of VLSI Multi Level Interconnect Conference 2006, pp. 57-64. |
Jung, S.-M., et al., “The revolutionary and truly 3-dimensional 25F2 SRAM technology with the smallest S3 (stacked single-crystal Si) cell, 0.16um2, and SSTFT (stacked single-crystal thin film transistor) for ultra high density SRAM,” VLSI Technology, 2004. Digest of Technical Papers, pp. 228-229, Jun. 15-17, 2004. |
Hui, K. N., et al., “Design of vertically-stacked polychromatic light-emitting diodes,” Optics Express, Jun. 8, 2009, pp. 9873-9878, vol. 17, No. 12. |
Chuai, D. X., et al., “A Trichromatic Phosphor-Free White Light-Emitting Diode by Using Adhesive Bonding Scheme,” Proc. SPIE, 2009, vol. 7635. |
Suntharalingam, V. et al., “Megapixel CMOS Image Sensor Fabricated in Three-Dimensional Integrated Circuit Technology,” Solid-State Circuits Conference, Digest of Technical Papers, ISSCC, Aug. 29, 2005, pp. 356-357, vol. 1. |
Coudrain, P. et al., “Setting up 3D Sequential Integration for Back-Illuminated CMOS Image Sensors with Highly Miniaturized Pixels with Low Temperature Fully-Depleted SOI Transistors,” IEDM, 2008, pp. 1-4. |
Flamand, G. et al., “Towards Highly Efficient 4-Terminal Mechanical Photovoltaic Stacks,” III-Vs Review, Sep.-Oct. 2006, pp. 24-27, vol. 19, Issue 7. |
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” Photovoltaic Specialists Conference, Conference Record of the Twenty-Ninth IEEE, May 19-24, 2002, pp. 1039-1042. |
Sekar, D. C., et al., “A 3D-IC Technology with Integrated Microchannel Cooling”, Proc. Intl. Interconnect Technology Conference, 2008, pp. 13-15. |
Brunschweiler, T., et al., “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008, pp. 1114-1125. |
Yu, H., et al., “Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 14, No. 3, Article 41, May 2009, pp. 41.1-41.31. |
Motoyoshi, M., “3D-IC Integration,” 3rd Stanford and Tohoku University Joint Open Workshop, Dec. 4, 2009, pp. 1-52. |
Wong, S., et al., “Monolithic 3D Integrated Circuits,” VLSI Technology, Systems and Applications, 2007, International Symposium on VLSI-TSA 2007, pp. 1-4. |
Batude, P., et al., “Advances in 3D CMOS Sequential Integration,” 2009 IEEE International Electron Devices Meeting (Baltimore, Maryland), Dec. 7-9, 2009, pp. 345-348. |
Tan, C.S., et al., “Wafer Level 3-D ICs Process Technology,” ISBN-10: 0387765328, Springer, 1st Ed., Sep. 19, 2008, pp. v-xii, 34, 58, and 59. |
Yoon, S.W. et al., “Fabrication and Packaging of Microbump Interconnections for 3D TSV,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, pp. 1-5. |
Franzon, P.D. et al., “Design and CAD for 3D Integrated Circuits,” 45th ACM/IEEE Design, Automation Conference (DAC), Jun. 8-13, 2008, pp. 668-673. |
Lajevardi, P., “Design of a 3-Dimension FPGA,” Thesis paper, University of British Columbia, Submitted to Dept. of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Jul. 2005, pp. 1-71. |
Dong, C. et al., “Reconfigurable Circuit Design with Nanomaterials,” Design, Automation & Test in Europe Conference & Exhibition, Apr. 20-24, 2009, pp. 442-447. |
Razavi, S.A., et al., “A Tileable Switch Module Architecture for Homogeneous 3D FPGAs,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, 4 pages. |
Bakir M., et al., “3D Device-Stacking Technology for Memory,” Chptr. 13.4, pp. 407-410, in “Integrated Interconnect Technologies for 3D Nano Electronic Systems”, 2009, Artech House. |
Weis, M. et al., “Stacked 3-Dimensional 6T SRAM Cell with Independent Double Gate Transistors,” IC Design and Technology, May 18-20, 2009. |
Doucette, P., “Integrating Photonics: Hitachi, Oki Put LEDs on Silicon,” Solid State Technology, Jan. 2007, p. 22, vol. 50, No. 1. |
Luo, Z.S. et al., “Enhancement of (In, Ga)N Light-emitting Diode Performance by Laser Liftoff and Transfer from Sapphire to Silicon,” Photonics Technology Letters, Oct. 2002, pp. 1400-1402, vol. 14, No. 10. |
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” NCPV and Solar Program Review Meeting, 2003, pp. 723-726. |
Kada, M., “Updated results of R&D on functionally innovative 3D-integrated circuit (dream chip) technology in FY2009”, (2010) International Microsystems Packaging Assembly and Circuits Technology Conference, IMPACT 2010 and International 3D IC Conference, Proceedings. |
Kada, M., “Development of functionally innovative 3D-integrated circuit (dream chip) technology / high-density 3D-integration technology for multifunctional devices”, (2009) IEEE International Conference on 3D System Integration, 3DIC 2009. |
Marchal, P., et al., “3-D technology assessment: Path-finding the technology/design sweet-spot”, (2009) Proceedings of the IEEE, 97 (1), pp. 96-107. |
Xie, Y., et al., “Design space exploration for 3D architectures”, (2006) ACM Journal on Emerging Technologies in Computing Systems, 2 (2), Apr. 2006, pp. 65-103. |
Souri, S., et al., “Multiple Si layers ICs: motivation, performance analysis, and design Implications”, (2000) Proceedings—Design Automation Conference, pp. 213-220. |
Vinet, M., et.al., “3D monolithic integration: Technological challenges and electrical results”, Microelectronic Engineering Apr. 2011 vol. 88, Issue 4, pp. 331-335. |
Bobba, S. et al., “CELONCEL: Effective Design Technique for 3-D Monolithic Integration targeting High Performance Integrated Circuits”, Asia pacific DAC 2011, paper 4A-4. |
Choudhury, D., “3D Integration Technologies for Emerging Microsystems”, IEEE Proceedings of the IMS 2010, pp. 1-4. |
Lee, Y.-J., et. al, “3D 65nm CMOS with 320°C Microwave Dopant Activation”, IEDM 2010, pp. 1-4. |
Crnogorac, F., et al., “Semiconductor crystal islands for three-dimensional integration”, J. Vac. Sci. Technol. B 28(6), Nov./Dec. 2010, pp. C6P53-C6P58. |
Park, J.-H., et al., “N-Channel Germanium MOSFET Fabricated Below 360°C by Cobalt-Induced Dopant Activation for Monolithic Three-Dimensional-ICs”, IEEE Electron Device Letters, vol. 32, No. 3, Mar. 2011, pp. 234-236. |
Jung, S.-M., et al., “Highly Area Efficient and Cost Effective Double Stacked S3( Stacked Single-crystal Si ) Peripheral CMOS SSTFT and SRAM Cell Technology for 512M bit density SRAM”, IEDM 2003, pp. 265-268. |
Joyner, J.W., “Opportunities and Limitations of Three-dimensional Integration for Interconnect Design”, PhD Thesis, Georgia Institute of Technology, Jul. 2003. |
Choi, S.-J., “A Novel TFT with a Laterally Engineered Bandgap for of 3D Logic and Flash Memory”, 2010 Symposium of VLSI Technology Digest, pp. 111-112. |
Radu, I., et al., “Recent Developments of Cu—Cu non-thermo compression bonding for wafer-to-wafer 3D stacking”, IEEE 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010. |
Gaudin, G., et al., “Low temperature direct wafer to wafer bonding for 3D integration”, 3D Systems Integration Conference (3DIC), IEEE, 2010, Munich, Nov. 16-18, 2010, pp. 1-4. |
“Jung, S.-M., et al., ”“Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node”, IEDM 2006, Dec. 11-13, 2006. |
Souri, S. J., “Interconnect Performance in 3-Dimensional Integrated Circuits”, PhD Thesis, Stanford, Jul. 2003. |
Uemoto, Y., et al., “A High-Performance Stacked-CMOS SRAM Cell by Solid Phase Growth Technique”, Symposium on VLSI Technology, 2010, pp. 21-22. |
Jung, S.-M., et al., “Highly Cost Effective and High Performance 65nm S3( Stacked Single-crystal Si ) SRAM Technology with 25F2, 0.16um2 cell and doubly Stacked SSTFT Cell Transistors for Ultra High Density and High Speed Applications”, 2005 Symposium on VLSI Technology Digest of Technical papers, pp. 220-221. |
Steen, S.E., et al., “Overlay as the key to drive wafer scale 3D integration”, Microelectronic Engineering 84 (2007) 1412-1415. |
Maeda, N., et al., “Development of Sub 10-μm Ultra-Thinning Technology using Device Wafers for 3D Manufacturing of Terabit Memory”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 105-106. |
Chan, M., et al., “3-Dimensional Integration for Interconnect Reduction in for Nano-CMOS Technologies”, IEEE Tencon, Nov. 23, 2006, Hong Kong. |
Dong, X., et al., “Chapter 10: System-Level 3D IC Cost Analysis and Design Exploration”, in Xie, Y., et al., “Three-Dimensional Integrated Circuit Design”, book in series “Integrated Circuits and Systems” ed. A. Andrakasan, Springer 2010. |
Naito, T., et al., “World's first monolithic 3D-FPGA with TFT SRAM over 90nm 9 layer Cu CMOS”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 219-220. |
Bernard, E., et al., “Novel integration process and performances analysis of Low STandby Power (LSTP) 3D Multi-Channel CMOSFET (MCFET) on SOI with Metal / High-K Gate stack”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 16-17. |
Cong, J., et al., “Quantitative Studies of Impact of 3D IC Design on Repeater Usage”, Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, pp. 344-348, 2008. |
Gutmann, R.J., et al., “Wafer-Level Three-Dimensional Monolithic Integration for Intelligent Wireless Terminals”, Journal of Semiconductor Technology and Science, vol. 4, No. 3, Sep. 2004, pp. 196-203. |
Crnogorac, F., et al., “Nano-graphoepitaxy of semiconductors for 3D integration”, Microelectronic Engineering 84 (2007) 891-894. |
Koyanagi, M, “Different Approaches to 3D Chips”, 3D IC Review, Stanford University, May 2005. |
Koyanagi, M, “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009 presentation. |
Koyanagi, M., et al., “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009, paper 4D-1, pp. 409-415. |
Hayashi, Y., et al., “A New Three Dimensional IC Fabrication Technology Stacking Thin Film Dual-CMOS Layers”, IEDM 1991, paper 25.6.1, pp. 657-660. |
Clavelier, L., et al., “Engineered Substrates for Future More Moore and More Than Moore Integrated Devices”, IEDM 2010, paper 2.6.1, pp. 42-45. |
Kim, K., “From The Future Si Technology Perspective: Challenges and Opportunities”, IEDM 2010, pp. 1.1.1-1.1.9. |
Ababei, C., et al., “Exploring Potential Benefits of 3D FPGA Integration”, in book by Becker, J. et al. Eds., “Field Programmable Logic 2004”, LNCS 3203, pp. 874-880, 2004, Springer-Verlag Berlin Heidelberg. |
Ramaswami, S., “3D TSV IC Processing”, 3DIC Technology Forum Semicon Taiwan 2010, Sep. 9, 2010. |
Davis, W.R., et al., “Demystifying 3D Ics: Pros and Cons of Going Vertical”, IEEE Design and Test of Computers, Nov.-Dec. 2005, pp. 498-510. |
Lin, M., et al., “Performance Benefits of Monolithically Stacked 3DFPGA”, FPGA06, Feb. 22-24, 2006, Monterey, California, pp. 113-122. |
Dong, C., et al., “Performance and Power Evaluation of a 3D CMOS/Nanomaterial Reconfigurable Architecture”, ICCAD 2007, pp. 758-764. |
Gojman, B., et al., “3D Nanowire-Based Programmable Logic”, International Conference on Nano-Networks (Nanonets 2006), Sep. 14-16, 2006. |
Dong, C., et al., “3-D nFPGA: a Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits”, IEEE Transactions on Circuits and Systems, vol. 54, No. 11, Nov. 2007, pp. 2489-2501. |
Golshani, N., et al., “Monolithic 3D Integration of SRAM and Image Sensor Using Two Layers of Single Grain Silicon”, 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010, pp. 1-4. |
Rajendran, B., et al., “Thermal Simulation of laser Annealing for 3D Integration”, Proceedings VMIC 2003. |
Woo, H.-J., et al., “Hydrogen Ion Implantation Mechanism in GaAs-on-insulator Wafer Formation by Ion-cut Process”, Journal of Semiconductor Technology and Science, vol. 6, No. 2, Jun. 2006, pp. 95-100. |
Sadaka, M., et al., “Building Blocks for wafer level 3D integration”, www.electroiq.com, Aug. 18, 2010, last accessed Aug. 18, 2010. |
Madan, N., et al., “Leveraging 3D Technology for Improved Reliability,” Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), IEEE Computer Society. |
Hayashi, Y., et al., “Fabrication of Three Dimensional IC Using “Cumulatively Bonded IC” (CUBIC) Technology”, 1990 Symposium on VLSI Technology, pp. 95-96. |
Akasaka, Y., “Three Dimensional IC Trends,” Proceedings of the IEEE, vol. 24, No. 12, Dec. 1986. |
Guarini, K. W., et al., “Electrical Integrity of State-of-the-Art 0.13um SOI Device and Circuits Transferred for Three-Dimensional (3D) Integrated Circuit (IC) Fabrication,” IEDM 2002, paper 16.6, pp. 943-945. |
Kunio, T., et al., “Three Dimensional Ics, Having Four Stacked Active Device Layers,” IEDM 1989, paper 34.6, pp. 837-840. |
Gaillardon, P-E., et al., “Can We Go Towards True 3-D Architectures?,” DAC 2011, paper 58, pp. 282-283. |
Yun, J-G., et al., “Single-Crystalline Si Stacked Array (STAR) NAND Flash Memory,” IEEE Transactions on Electron Devices, vol. 58, No. 4, Apr. 2011, pp. 1006-1014. |
Kim, Y., et al., “Three-Dimensional NAND Flash Architecture Design Based on Single-Crystalline Stacked Array,” IEEE Transactions on Electron Devices, vol. 59, No. 1, Jan. 2012, pp. 35-45. |
Goplen, B., et al., “Thermal Via Placement in 3DICs,” Proceedings of the International Symposium on Physical Design, Apr. 3-6, 2005, San Francisco. |
Bobba, S., et al., “Performance Analysis of 3-D Monolithic Integrated Circuits,” 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 2010, Munich, pp. 1-4. |
Batude, P., et al., “Demonstration of low temperature 3D sequential FDSOI integration down to 50nm gate length,” 2011 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159. |
Batude, P., et al., “Advances, Challenges and Opportunties in 3D CMOS Sequential Integration,” 2011 IEEE International Electron Devices Meeting, paper 7.3, Dec. 2011, pp. 151-154. |
Yun, C. H., et al., “Transfer of patterned ion-cut silicon layers”, Applied Physics Letters, vol. 73, No. 19, Nov. 1998, pp. 2772-2774. |
Ishihara, R., et al., “Monolithic 3D-ICs with single grain Si thin film transistors,” Solid-State Electronics 71 (2012) pp. 80-87. |
Lee, S. Y., et al., “Architecture of 3D Memory Cell Array on 3D IC,” IEEE International Memory Workshop, May 20, 2012, Monterey, CA. |
Lee, S. Y., et al., “3D IC Architecture for High Density Memories,” IEEE International Memory Workshop, p. 1-6, May 2010. |
Rajendran, B., et al., “CMOS transistor processing compatible with monolithic 3-D Integration,” Proceedings VMIC 2005. |
Huet, K., “Ultra Low Thermal Budget Laser Thermal Annealing for 3D Semiconductor and Photovoltaic Applications,” NCCAVS 2012 Junction Technology Group, Semicon West, San Francisco, Jul. 12, 2012. |
Derakhshandeh, J., et al., “A Study of the CMP Effect on the Quality of Thin Silicon Films Crystallized by Using the u-Czochralski Process,” Journal of the Korean Physical Society, vol. 54, No. 1, 2009, pp. 432-436. |
Kim, J., et al., “A Stacked Memory Device on Logic 3D Technology for Ultra-high-density Data Storage,” Nanotechnology, vol. 22, 254006 (2011). |
Lee, K. W., et al., “Three-dimensional shared memory fabricated using wafer stacking technology,” IEDM Tech. Dig., 2000, pp. 165-168. |
Chen, H. Y., et al., “HfOx Based Vertical Resistive Random Access Memory for Cost Effective 3D Cross-Point Architecture without Cell Selector,” Proceedings IEDM 2012, pp. 497-499. |
Huet, K., et al., “Ultra Low Thermal Budget Anneals for 3D Memories: Access Device Formation,” Ion Implantation Technology 2012, AIP Conf Proceedings 1496, 135-138 (2012). |
Batude, P., et al., “3D Monolithic Integration,” ISCAS 2011 pp. 2233-2236. |
Batude, P., et al., “3D Sequential Integration: a Key Enabling Technology for Heterogeneous C-Integration of New Function With CMOS,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), vol. 2, No. 4, Dec. 2012, pp. 714-722. |
Vinet, M., et.al., “Germanium on Insulator and new 3D architectures opportunities for integration”, International Journal of Nanotechnology, vol. 7, No. 4, (Aug. 2010) pp. 304-319. |
Bernstein, K., et al., “Interconnects in the Third Dimension: Design Challenges for 3DICs,” Design Automation Conference, 2007, DAC'07, 44th ACM/IEEE, vol. No. pp. 562-567, Jun. 4-8, 2007. |
Kuroda, T., “ThruChip Interface for Heterogeneous Chip Stacking,” ElectroChemicalSociety Transactions, 50 (14) 63-68 (2012). |
Miura, N., et al., “A Scalable 3D Heterogeneous Multi-Core Processor with Inductive-Coupling ThruChip Interface,” IEEE Micro Cool Chips XVI, Yokohama, Apr. 17-19, 2013, pp. 1-3(2013). |
Kuroda, T., “Wireless Proximity Communications for 3D System Integration,” Future Directions in IC and Package Design Workshop, Oct. 29, 2007. |
Qiang, J-Q, “3-D Hyperintegration and Packaging Technologies for Micro-Nano Systems,” Proceedings of the IEEE, 97.1 (2009) pp. 18-30. |
Lee, B.H., et al., “A Novel Pattern Transfer Process for Bonded SOI Giga-bit DRAMs,” Proceedings 1996 IEEE International SOI Conference, Oct. 1996, pp. 114-115. |
Wu, B., et al., “Extreme ultraviolet lithography and three dimensional circuits,” Applied Physics Reviews, 1, 011104 (2014). |
Delhougne, R., et al., “First Demonstration of Monocrystalline Silicon Macaroni Channel for 3-D NAND Memory Devices” IEEE VLSI Tech Digest, 2018, pp. 203-204. |
Kim, J., et al.; “A stacked memory device on logic 3D technology for ultra-high-density data storage”; Nanotechnology 22 (2011) 254006 (7pp). |
Hsieh, P-Y, et al., “Monolithic 3D Beol FinFET switch arrays using location-controlled-grain technique in voltage regulator with better FOM than 2D regulators”, IEDM paper 3.1, pp. IEDM19-46 to IEDM19-49. |
Then, Han Wui, et al., “3D heterogeneous integration of high performance high-K metal gate GaN NMOS and Si PMOS transistors on 300mm high resistivity Si substrate for energy-efficient and compact power delivery, RF (5G and beyond) and SoC applications”, IEDM 2019, paper 17.3, pp. IEDM19-402 to IEDM19-405. |
Rachmady, W., et al., “300mm Heterogeneous 3D Integration of Record Performance Layer Transfer Germanium PMOS with Silicon NMOS for Low Power High Performance Logic Applications”, IEDM 2019, paper 29.7, pp. IEDM19-697 to IEDM19-700. |
Number | Date | Country | |
---|---|---|---|
20210351135 A1 | Nov 2021 | US |
Number | Date | Country | |
---|---|---|---|
62042229 | Aug 2014 | US | |
62035565 | Aug 2014 | US | |
62022498 | Jul 2014 | US | |
61932617 | Jan 2014 | US |
Number | Date | Country | |
---|---|---|---|
Parent | 17246612 | May 2021 | US |
Child | 17384796 | US | |
Parent | 17169511 | Feb 2021 | US |
Child | 17246612 | US | |
Parent | 17064504 | Oct 2020 | US |
Child | 17169511 | US | |
Parent | 16846298 | Apr 2020 | US |
Child | 17064504 | US | |
Parent | 16252825 | Jan 2019 | US |
Child | 16846298 | US | |
Parent | 15990626 | May 2018 | US |
Child | 16252825 | US | |
Parent | 15477106 | Apr 2017 | US |
Child | 15990626 | US | |
Parent | 14642724 | Mar 2015 | US |
Child | 15477106 | US | |
Parent | 15482787 | Apr 2017 | US |
Child | 17064504 | Oct 2020 | US |
Parent | 14607077 | Jan 2015 | US |
Child | 15482787 | US |