Atomic layer deposition on 3D NAND structures

Information

  • Patent Grant
  • 11972952
  • Patent Number
    11,972,952
  • Date Filed
    Friday, December 13, 2019
    4 years ago
  • Date Issued
    Tuesday, April 30, 2024
    2 months ago
Abstract
Methods and apparatuses are described that provide tungsten deposition with low roughness. In some embodiments, the methods involve co-flowing nitrogen with hydrogen during an atomic layer deposition process of depositing tungsten that uses hydrogen as a reducing agent. In some embodiments, the methods involve depositing a cap layer, such as tungsten oxide or amorphous tungsten layer, on a sidewall surface of a 3D NAND structure. The disclosed embodiments have a wide variety of applications including depositing tungsten into 3D NAND structures.
Description
INCORPORATION BY REFERENCE

A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in its entirety and for all purposes.


BACKGROUND

Deposition of tungsten-containing materials is an integral part of many semiconductor fabrication processes. These materials may be used for horizontal interconnects, vias between adjacent metal layers, and contacts between metal layers and devices. However, as devices shrink and more complex patterning schemes are utilized in the industry, deposition of thin tungsten films becomes a challenge. Deposition in complex high aspect ratio structures such as 3D NAND structures is particularly challenging.


The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.


SUMMARY

One aspect of the disclosure relates to a method including providing a structure to be filled with a tungsten-containing material and exposing the structure to multiple deposition cycles, where each deposition cycle includes sequentially delivering a dose of hydrogen (H2) co-flowed with nitrogen (N2) and a dose of a tungsten precursor to a chamber housing the structure.


In some embodiments, the structure is a partially fabricated three-dimension (3-D) NAND structure including sidewalls and a plurality of openings in the sidewalk leading to a plurality of features having a plurality of interior regions fluidically accessible through the openings. In some embodiments, the dose of the tungsten precursor is delivered at a pressure of at least 300 Torr. In some embodiments, the dose of the tungsten precursor is delivered without nitrogen. In some embodiments, the N2 is between 10-30% (vol.) of the total N2+H2 flow. In some embodiments, the substrate temperature is no more than 350° C. during the dose of H2 co-flowed with N2. In some embodiments, the N2 is greater than 30% (vol) of the total N2+H2 flow and the substrate temperature is at least 375° C. during the dose of H2 co-flowed with N2. In some embodiments, the tungsten precursor is tungsten hexafluoride. In some embodiments, the tungsten precursor is a chlorine-containing tungsten precursor, in some embodiments, the dose of the tungsten precursor is delivered at a higher pressure than the dose of the H2 co-flowed with N2.


Another aspect of the disclosure relates to a method including: providing a structure having gaps to be filled with a tungsten-containing material; exposing the structure to multiple deposition cycles, where each deposition cycle includes sequentially delivering a dose of hydrogen (H2) and a dose of a tungsten precursor to deposit a bulk tungsten film in the gaps; and forming a cap layer on the bulk layer.


In some embodiments, the structure is a partially fabricated three-dimension (3-D) NAND structure including sidewalls and a plurality of openings in the sidewalls leading to the gaps to be filled with tungsten. In some embodiments, the cap layer is a tungsten oxide layer. In some embodiments, the cap layer is an amorphous tungsten layer. In some embodiments, the structure is provided to a multi-station deposition chamber and where the multiple deposition cycles are performed in one or more first stations of the multi-station deposition chamber and the cap layer is formed in one or more second station of the multi-station deposition chamber. In some embodiments, the cap layer is deposited on the sidewalls.


A further aspect of the disclosure relates to a method including: (a) depositing a tungsten nucleation layer in a feature on a substrate by alternating pulses of a tungsten precursor and a boron-containing reducing agent; (b) depositing a tungsten template layer on the tungsten nucleation layer by alternating pulses of a tungsten precursor and hydrogen (H2) at a substrate temperature between 250° C. and 350° C.; (c) after (b), raising the substrate temperature by at least 50° C., and (d) after (c), depositing a tungsten bulk layer by alternating pulses of a tungsten precursor and hydrogen (H2) at a substrate temperature of at least 350° C. In some embodiments, the tungsten nucleation layer is deposited to a thickness of no more than 30 Angstroms.


Yet another aspect of the disclosure relates to an apparatus including: a process chamber having one or more stations each configured to hold a substrate; one or more process gas inlets for coupling to a hydrogen (H2) gas source, a nitrogen (N2) gas source, and a tungsten precursor gas source; and a controller for controlling operations in the apparatus, including machine-readable instructions for sequentially delivering a dose of hydrogen (H2) co-flowed with a nitrogen (N2) and a dose of a tungsten precursor to the process chamber.


These and other aspects are described further below with reference to the drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1A presents a cross-sectional side-view of a 3-D NAND structure that may be filled with tungsten according to the embodiments described herein.



FIG. 1B presents a cross-sectional top-down view of the -D NAND in FIG. 1A.



FIGS. 2A and 2B are process flow diagrams depicting operations for methods in accordance with certain disclosed embodiments.



FIG. 2C is a timing sequence diagram showing example cycles in methods for depositing films in accordance with certain disclosed embodiments.



FIG. 3 presents a cross-sectional side-view of a filled wordline feature of a 3D-NAND structure.



FIG. 4 is a timing sequence diagram showing example cycles in methods for depositing films in accordance with certain disclosed embodiments.



FIGS. 5A-5D are process flow diagrams depicting operations for methods in accordance with certain disclosed embodiments.



FIG. 6 is a schematic diagram of an example process tool for performing certain disclosed embodiments.



FIG. 7 is a schematic diagram of an example station for performing certain disclosed embodiments.



FIG. 8 is a schematic diagram showing basic features of a manifold system that may be used in accordance with certain embodiments.





DETAILED DESCRIPTION

In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.


Tungsten (W) fill of features is often used in semiconductor device fabrication to form electrical contacts. In conventional methods of depositing tungsten films, a nucleation tungsten layer is first deposited into a via or contact. In general, a nucleation layer is a thin conformal layer that serves to facilitate the subsequent formation of a bulk material thereon. The tungsten nucleation layer may be deposited to conformally coat the sidewalk and bottom of the feature. Conforming to the underlying feature bottom and sidewalls can be critical to support high quality deposition. Nucleation layers are often deposited using atomic layer deposition (ALD) or pulsed nucleation layer (PNL) methods.


In an ALD or PNL technique, pulses of reactant are sequentially injected and purged from the reaction chamber, typically by a pulse of a purge gas between reactants. A first reactant can be adsorbed onto the substrate, available to react with the next reactant. The process is repeated in a cyclical fashion until the desired thickness is achieved. In the context of the disclosed embodiments, chemical vapor deposition (CVD) embodies processes in which reactants are together introduced to a reactor for a vapor-phase or surface reaction. PNL and ALD processes are distinct from CVD processes and vice versa.


After the tungsten nucleation layer is deposited, bulk tungsten may be deposited by a CVD process by reducing tungsten hexafluoride (WF6) using a reducing agent such as hydrogen (H2). Bulk tungsten is different from a tungsten nucleation layer. Bulk tungsten as used herein refers to tungsten used to fill most or all of a feature, such as at least about 50% of the feature. Unlike a nucleation layer, which is a thin conformal film that serves to facilitate the subsequent formation of a bulk material thereon, bulk tungsten is used to carry current. It may be characterized by larger grain size and lower resistivity as compared to a nucleation film. In various embodiments, bulk tungsten is tungsten deposited to a thickness of at least 50 Å.


There are various challenges in tungsten fill as devices scale to smaller technology nodes and more complex patterning structures are used. One challenge is conformal deposition in these structures such that there is an even distribution of material throughout the structure. Distribution of a material within a feature or structure may be characterized by its step coverage. For the purposes of this description, “step coverage” is defined as a ratio of two thicknesses. For example, step coverage can be the thickness of the material inside the feature divided by the thickness of the material near the opening. For purposes of this document, the term “inside the feature” represents a middle portion of the feature located about the middle point of the feature along the feature's axis, e.g., an area between about 25% and 75% of the distance or, in certain embodiments, between about 40% and 60% of the distance along the feature's depth measured from the feature's opening, or an end portion of the feature located between about 75% and 95% of the distance along the feature's axis as measured from the opening. The term “near the opening of the feature” or “near the feature's opening” represents a top portion of the feature located within 25% or, more specifically, within 10% of the opening's edge or other element representative of the opening's edge. Step coverage of over 100% can be achieved, for example, by filling a feature wider in the middle or near the bottom of the feature than at the feature opening.


Another challenge is reducing the fluorine concentration or content in the deposited tungsten film. As compared to larger features, a smaller feature having the same fluorine concentration in the tungsten film as a larger feature affects the performance of the device more substantially. For example, the smaller the feature, the thinner the films are deposited. As a result, fluorine in the deposited tungsten film is more likely to diffuse through the thinner films, thereby potentially causing device failure. For structures such as 3D NAND structures, sidewall roughness is also a challenge.


The methods and apparatuses provided herein may have one or more of the following advantages. In some implementations, they provide tungsten deposition having reduced roughness. They may do so by providing smoother growth and without an intervening etch operation. Low fluorine tungsten may be deposited. Further, the methods may provide good step coverage. The disclosed embodiments have a wide variety of applications including depositing tungsten into 3D NAND structures.


The methods described herein are performed on a substrate that may be housed in a chamber. The substrate may be a silicon or other semiconductor water, e.g., a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, including wafers having one or more layers of material, such as dielectric, conducting, or semi-conducting material deposited thereon. The methods are not limit to semiconductor substrates, and may be performed to fill any feature with metal such as tungsten.



FIG. 1A presents a cross-sectional side-view of a 3-D NAND (also referred to as vertical NAND or VNAND) structure 110 (formed on a semiconductor substrate 103) having VNAND stacks (left 125 and right 126), central vertical structure 130, and a plurality of stacked horizontal features 120 with openings 122 on opposite sidewalls 140 of central vertical structure 130. Note that FIG. 1F displays two stacks 125 and 126 of the exhibited 3-D NAND structure 110, which together form the trench-like central vertical structure 130, however, in certain embodiments, there may be more than two stacks arranged in sequence and running spatially parallel to one another, the gap between each adjacent pair of stacks forming a central vertical structure 130, like that explicitly illustrated in FIG. 1A. In the example of FIG. 1A, the horizontal features 120 are 3-D memory wordline features that are fluidically accessible from the central vertical structure 130 through the openings 122. Although not explicitly indicated in the figure, the horizontal features 120 present in both the 3-D NAND stacks 125 and 126 shown in FIG. 1A (i.e., the left 3-D NAND stack 125 and the right 3-D NAND stack 126) are also accessible from the other sides of the stacks (far left and far right, respectively) through similar vertical structures formed by additional 3-D NAND stacks (to the far left and far right, but not shown). In other words, each 3-D NAND stack 125, 126 contains a stack of wordline features that are fluidically accessible from both sides of the 3-D NAND stack through a central vertical structure 130.


The wordline features in a 3-D NAND stack are typically formed by depositing an alternating stack of silicon oxide and silicon nitride layers, and then selectively removing the nitride layers leaving a stack of oxide layers 142 having gaps between them. These gaps are the wordline features. Any number of wordlines may be vertically stacked in such a 3-D NAND structure so long as there is a technique for forming them available, as well as a technique available to successfully accomplish (substantially) void-free fills of the vertical features. Thus, for example, a VNAND stack may include between 2 and 256 horizontal wordline features, or between 8 and 128 horizontal wordline features, or between 16 and 64 horizontal wordline features, and so forth (the listed ranges understood to include the recited end points).



FIG. 1B presents a cross-sectional top-down view of the same 3-D NAND structure 110 shown in side-view in FIG. 1A with the cross-section taken through the horizontal section 160 as indicated by the dashed horizontal line in FIG. 1A. The cross-section of FIG. 1G illustrates several rows of pillars 155, which are shown in FIG. 1A to run vertically from the base of semiconductor substrate 103 to the top of 3-D NAND stack 110. In some embodiments, these pillars 155 are formed from a polysilicon material and are structurally and functionally significant to the 3-D NAND structure 110. In some embodiments, such polysilicon pillars may serve as gate electrodes for stacked memory cells formed within the pillars. The top-view of FIG. 1B illustrates that the pillars 155 form constrictions in the openings 122 to wordline features 120—i.e. fluidic accessibility of wordline features 120 from the central vertical structure 130 via openings 122 (as indicated by the arrows in FIG. 1G) is inhibited by pillars 155. In some embodiments, the size of the horizontal gap between adjacent polysilicon pillars is between about 1 and 20 nm. This reduction in fluidic accessibility increases the difficulty of uniformly filling wordline features 120 with tungsten material.



FIGS. 2A-2C describe methods that may be performed to fill a 3D NAND structure with low-fluorine and low resistivity tungsten, Turning first to FIG. 2A, operations 202-210 of FIG. 2A are performed to deposit a tungsten nucleation layer by ALD. In various embodiments described herein, operations 202-210 are performed at lower pressure than the subsequent bulk deposition in operation 280, For example, operations 202-210 may be performed at a low pressure less than about 10 Torr. In some examples, operations 202-210 are performed at a pressure of about 10 Torr, or a pressure of about 3 Torr.


In some implementations, performing operations 202-210 at a low pressure reduces fluorine concentration in the deposited tungsten film due to a lower partial pressure of a fluorine-containing precursor in the chamber when the film is deposited, such that less fluorine is incorporated into the film.


In operation 202, the substrate is exposed to a tungsten-containing precursor such as WF6. For purposes of the description herein, although WF6 is used as an example of a tungsten-containing precursor, it should be understood that other tungsten-containing precursors may be suitable for performing disclosed embodiments. For example, a metal-organic tungsten-containing precursor may be used. Organo-metallic precursors and precursors that are free of fluorine, such as MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) and EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) may also be used. Tungsten chlorides (WClx) and tungsten hexacarbonyl W(CO)6 The tungsten-containing precursor may include a combination of these compounds. In some embodiments, a carrier gas, such as nitrogen (N2), argon (Ar), helium (He), or other inert gases, may be flowed during operation 202.


Operation 202 may be performed for any suitable duration and at any suitable temperature. In some examples, operation 202 may be performed for a duration between about 0.25 seconds and about 30 seconds, about 0.25 seconds to about 5 seconds, or about 0.5 seconds to about 3 seconds. This operation may be performed in some embodiments for a duration sufficient to saturate the active sites on the surface of the substrate.


In operation 204, the chamber is optionally purged to remove excess WF6 that did not adsorb to the surface of the substrate. A purge may be conducted by flowing an inert gas at a fixed pressure thereby reducing the pressure of the chamber and re-pressurizing the chamber before initiating another gas exposure.


In operation 206, the substrate is exposed to a reducing agent to deposit a tungsten nucleation layer. The reducing agent may be a borane, silane, or germane. Example boranes include borane (BH3), diborane (B2H6), triborane, alkyl boranes, aminoboranes, carboboranes, and haloborane. Example silanes include silane (SiH4), disilane (Si2H6), trisilane (Si3H8), alkyl silanes, aminosilanes, carbosilanes, and halosilane. Germanes include GenHn+4, GenHn+6, and GenHm, where n is an integer from 1 to 10, and n is a different integer than m. Other germanes may also be used, e.g., alkyl germanes, aminogermanes, carbogermanes, and halogermanes. In general, halogermanes may not have significant reducing potential but there may be process conditions and tungsten-containing precursors suitable for film formation using halogermanes.


Operation 206 may be performed for any suitable duration. In some examples, Example durations include between about 0.25 seconds and about 30 seconds, about 025 seconds to about 5 seconds, or about 0.5 seconds to about 3 seconds. In some embodiments, this operation may be sufficient to react with the adsorbed layer of WF6 on the surface of the substrate. Operation 206 may be performed for a duration outside of these example ranges. In some embodiments, a carrier gas may be used, such as, for example, argon (Ar), helium (He), or nitrogen (N2).


After operation 208, there may be an optional purge step to purge excess reducing agent still in gas phase that did not react with WF6 on the surface of the feature. A purge may be conducted by flowing an inert gas at a fixed pressure thereby reducing the pressure of the chamber and re-pressurizing the chamber before initiating another gas exposure.


In operation 210, it is determined whether the tungsten nucleation layer has been deposited to an adequate thickness. If not, operations 202-208 are repeated until a desired thickness of a tungsten nucleation layer is deposited on the surface of the feature. Each repetition of operations 202-208 may be referred to as an ALD “cycle.” In some embodiments, the order of operations 202 and 206 may be reversed, such that reducing agent is introduced first.


After the tungsten nucleation layer is deposited to an adequate thickness, in operation 280, bulk tungsten is deposited as described below. In various embodiments, operation 280 may be performed at a pressure greater than the pressure during operations 202-210. For example, operation 280 may be performed at a pressure greater than or equal to about 10 Torr, for example about 10 Torr, or about 40 Torr. In some embodiments, the pressure during both the nucleation layer and bulk layer deposition may be about 5-20 Torr, or 10 Torr.



FIG. 2B provides a process flow diagram for operations that may be performed during operation 280. Note that operations of FIG. 2B may be performed without performing operations of FIG. 2A. FIG. 2C provides a timing sequence diagram depicting examples cycles of ALD in a process 200.


In FIG. 2B, in operation 282, the substrate is exposed to a reducing agent, such as H2. This operation may be referred to as a “pulse” or a “dose,” which may be used interchangeably herein. H2 is pulsed without flowing another reactant. In some embodiments, a carrier gas may be flowed. The carrier gas may be any of those described above with respect to operation 204 in FIG. 2A. Operation 282 may be performed for any suitable duration. In some examples, Example durations include between about 0.25 seconds and about 30 seconds, about 0.25 seconds to about 5 seconds, or about 0.5 seconds to about 3 seconds.



FIG. 2C shows H2 dose 220A in deposition cycle 211A which may correspond with operation 282 of FIG. 2B. During a H2 dose 220A, a carrier gas is flowed, the reducing agent is pulsed, and WF6 flow is turned off.


Returning to FIG. 2B, in operation 284, the chamber is purged. This purge operation may remove excess H2 that remained in gas phase. A purge is conducted by flowing an inert gas at a fixed pressure thereby reducing the pressure of the chamber and re-pressurizing the chamber before initiating another gas exposure. The chamber may be purged for any suitable duration, for example, for a duration between about 0.1 seconds and about 3 seconds. Operation 284 of FIG. 2B may correspond to purge phase 240A of FIG. 2C. As shown in FIG. 2C, during purge phase 240A, the carrier gas is flowed but H2 flow and WF6 flow are turned off.


Returning to FIG. 2B, in operation 286, the substrate is exposed to a tungsten-containing precursor (e.g., WF6) to form a sub-monolayer or monolayer of film on the substrate. In various embodiments, WF6 is flowed to the chamber during this operation for a duration between about 0.1 seconds and about 3 seconds, or about 0.5 seconds. In some embodiments, WF6 may be diverted to fill the gas line and line change before dosing. In some embodiments, WF6 is flowed to the chamber but does not fully react with all H2 molecules on the surface of the substrate. Operation 286 may correspond to WF6 dose 260A in FIG. 2C. As shown in FIG. 2C, during the WF6 dose 260A, the carrier gas is flowed, the H2 flow is turned off, and the WF6 flow is turned on.


In operation 288 of FIG. 2B, the chamber is purged to remove reacted byproducts and WF6 in gas phase from the chamber. In some embodiments, the purge duration is between about 0.1 seconds and about 2 seconds and may prevent removing all of the WF6 from the substrate surface due to the low adsorption rate of WF6 to a surface of tungsten. In some embodiments, purge duration is between about 0.1 seconds and about 15 seconds, such as about 7 seconds. For example, for fabrication of a 3D NAND structure, the chamber may be purged for about 7 seconds during operation 288. The purge duration depends on the substrate and stress.


Operation 288 of FIG. 2B may correspond to purge phase 270A of FIG. 2C. As shown in FIG. 2C, purge phase 270A concludes deposition cycle 211A. In some embodiments, operations 286 and 282 may be reversed such that operation 286 is performed before 282. In some embodiments, operation 282 may be performed before operation 286.


In operation 290 of FIG. 2B, it is determined whether bulk tungsten has been deposited to an adequate thickness. If not, operations 282-288 are repeated until a desired thickness is deposited. In some embodiments, operations 282-288 are repeated until a feature is filled. In FIG. 2C, it is determined that bulk tungsten has not been deposited to an adequate thickness, so operations 282-288 of FIG. 2B are repeated in deposition cycle 211B, such that an H2 dose 220B is performed, followed by a purge phase 240B. A WF6 dose 260B is performed, followed by another purge phase 270B.


Disclosed embodiments may have various applications in tungsten deposition processes. For example, in some embodiments, a feature may be filled by depositing a tungsten nucleation layer by ALD cycles of alternating pulses of a reducing agent (e.g., a borane, a silane, or a germane) and WF6, followed by bulk tungsten deposition by using ALD cycles of alternating pulses of H2 and WF6. In some embodiments, a tungsten nucleation layer may be omitted with bulk deposition as described above with reference to FIGS. 2B and 2C performed directly on an underlying feature or layer. Tungsten films deposited using disclosed embodiments have low fluorine concentrations, low stress, good step coverage, and low resistivity.


In some aspects, the methods described herein provide smooth films having low roughness. In a 3D NAND structure, for example, the sidewalk 140 of the 3D NAND stacks 125, 126 will have tungsten at the opening of each tungsten wordline deposited at each horizontal feature 120. This is shown at 346 for a single wordline 320 in FIG. 3. The tungsten at 346 lines the sidewalk 340 of the stack that includes the wordline 320 and oxide layers 342 and is etched back in subsequent processing. However, sidewall roughness can lead to an uneven profile after etch-back and can eventually lead to etch chemical diffusing into wordline and device failure.


While CVD techniques can result in smooth sidewall coverage, the step coverage and conformality within the feature can be lacking. Provided herein are ALD methods that result in low roughness that may be implemented with the processes described above with reference to FIGS. 2A-2C. The ALD methods described herein can reduce the surface diffusion of W adatoms that leads to faceting and roughness outside of gaps, such in 3D-NAND central trenches.


In some embodiments, the methods involve co-flowing nitrogen (N2) with the reducing agent in operation 282 of FIG. 2B, FIG. 4 provides a timing sequence diagram depicting examples cycles of ALD in a process 400. The timing sequence diagram is similar to that in FIG. 2B, with the addition of co-flowing N2 during the H dose. In particular, FIG. 4 shows H2+N2 dose 420A in deposition cycle 411A which may correspond with operation 282 of FIG. 2B. During a H2 dose 420A, a carrier gas is flowed, H2 and N2 are pulsed is pulsed, and WF6 flow is turned off. The deposition cycle 411A further includes a WF6 dose 460A and a purge phase 470A as described above with reference to FIG. 2C. These operations are repeated as shown at 420B, 440B, 460B, and 470B, in the subsequent deposition cycle. Sidewall roughness is significantly reduced using the timing sequence shown in FIG. 4 as compared to one without nitrogen flow during the H2 operation. In particular, it was found to reduce sidewall roughness by about half. This may be due to N2 addition on the surface inhibiting the W adsorbed atom (adatom) diffusion and suppressing tungsten growth at the feature corners. Notably, flowing N2 during the reducing agent dose was found to reduce roughness more than if flowed during the tungsten precursor pulse.


According to various embodiments, N2 may represent about 10-30% (vol.) of the total N2+H2 flow. Higher N2 flows may be used, which can lead to a further decrease in roughness but an increase in film resistivity and/or stress. Stress increase can be prevented or avoided by using a relatively high temperature, e.g., 375° C. or above such as 400° C.


In some embodiments, roughness is improved by using a chamber pressure of at least 20 Torr, or 25 Torr the ALD process of FIG. 2B. For example, deposition cycles 200 and 400 as shown in FIGS. 2C and 4, respectively, may be performed at about 25 Torr. This shows improvement over 10 Torr, which may be due to reducing W adatom diffusion length. Chamber pressure may be between 2.0 and 40 Torr for reduced roughness. At pressures higher than 40 Torr, step coverage can be compromised as the process becomes too CVD-like and purging can be difficult.


In some embodiments, the tungsten precursor is delivered with a high dose pressure during operation 286. As described below, the gas lines may be charged to deliver each reactant. Delivering the tungsten precursor at a high charge pressure, e.g., at least 300 Torr or about 400 Torr, results in lower roughness. This may be due to higher adsorption on the surface, which limits the adatom diffusion. In some embodiments, the tungsten precursor is delivered at pressures between 400 Torr and 700 Torr.


Table 1, below, shows the reduction in roughness achieved for different process conditions within the scope of the disclosure. Tungsten was deposited in a 3-D NAND structure to fill wordline features and sidewall roughness was measured
















Process
A - baseline
B
C
D







Tungsten
WF6
WF6
WF6
WF6


precursor pulse


Reducing
H2
H2 − N2
H2
H2


agent pulse

(N2 between




10%-30% of




total H2 +




N2)















Chamber
10
Torr
10
Torr
25
Torr
10
Torr


Pressure


WF6 charge
180
Torr
180
Torr
180
Torr
400
Torr


RMS sidewall
3.67
nm
1.69
nm
2.32
nm
2.61
nm


roughness









At high temperatures, the sidewall roughness can be reduced by co-flowing N2 with WF6. For example, at temperatures greater than 400° C., co-flowing N2 with WF6 (or other precursor) has been shown to reduce roughness from 7.4 nm to 3.5 nm. The N2 may be from 0%-90% vol. of the total N2+WF6 flow, with higher N2 resulting in lower roughness without degrading film properties. At lower temperatures (e.g., 300° C.), the roughness may not be improved. This is in contrast to the other methods described above in which roughness can be reduced at low temperatures such as 300° C.



FIG. 5A is a process flow diagram showing another method of filling a structure having gaps with tungsten. In some embodiments, the structure is a 3D NAND structure as shown in FIG. 1A. The method begins at an operation 502 with depositing a tungsten nucleation layer to conformally line the structure with tungsten. Operation 502 may be performed as described above with reference to operations 202-210 of FIG. 2A. In some embodiments, silicon-containing and/or boron-containing reducing agents are employed during the tungsten nucleation layer deposition. In some embodiments, operation 502 may be omitted, as described above. Next, a bulk tungsten layer is deposited by ALD to fill gaps in the structure in an operation 504. Operation 504 may be performed as described above with reference to operations 282-290 of FIG. 2A and/or timing sequence diagrams 200 and 400 in FIGS. 2C and 4, respectively. However, rather than allow the deposition to continue to deposit outside the feature (e.g., at 346 in the example of FIG. 4), the deposition is stopped at an operation 506. At this point, the interior of the wordline feature may be completely or filled. A cap layer is then formed on the bulk tungsten in an operation 508. The cap layer is a relatively thin layer—thinner than the bulk tungsten layer—and may be deposited by CVD or ALD in some embodiments. Example thickness range from 100-200 Angstroms. Examples of cap layers include amorphous tungsten and tungsten oxide layers. The cap layer may be formed only outside of the wordline features.


In some embodiments, block 508 involves CVD deposition of a cap layer on the sidewalls of a 3D-NAND structure. CVD deposition on 3D-NAND structures has improved sidewall smoothness. This is because of its higher deposition rate, allowing less time for W adatom surface diffusion. Accordingly, transitioning from block 506 to block 508 can involve transitioning from alternating pulses of tungsten precursor and (hydrogen or other reducing agent) to concurrent introduction of the tungsten precursor and the reducing agent into the deposition chamber.


In some embodiments, block 508 involves forming a tungsten oxide cap layer on the sidewalk of a 3D-NAND structure. This can involve depositing a relatively thin ALD layer of tungsten following the timing sequences of FIG. 2C or 4, for example, then exposing the structure to air to oxide the layer and then repeating. For example, block 508 can involve ALD deposition of about 20 Angstroms of tungsten, oxidation and repeat to form 100-200 Angstroms of tungsten oxide.


In some embodiments, block 508 can involve deposition of an ALD tungsten layer formed by a method as described above with respect to FIG. 2A. While the cap layer is not a “nucleation” layer in the sense that there is typically not bulk deposition on it, it can be smoother than the bulk layer. The ALD tungsten layer deposited by a silane or boron reducing agent and a tungsten precursor may be an amorphous tungsten layer.


Table 2, below, shows the reduction in roughness achieved for different cap layers within the scope of the disclosure. Tungsten was deposited in a 3-D NAND structure to fill wordline features and sidewall roughness was measured


















F -






baseline
G
H
I




















Cap layer
None
CVD WF6/H2
Tungsten
W “nucleation”





oxide
layer (ALD)






WF6/B2H6)


RMS sidewall
3.67 nm
2.06 nm
3.08 nm
1.47 nm


roughness









It should be noted that the higher resistivity of the cap layer can be tolerated as it will be removed during a subsequent etch operations.



FIG. 5B is a process flow diagram showing another method of filling a structure having gaps with tungsten. In FIG. 5B, operations 602 and 604 may be performed as described above with respect to FIG. 5A. At 556, crystal growth of the ALD layer is stopped. This can involve stopping the ALD deposition and performing a process to interrupt the grain growth. In some embodiments, block 558 can involve a nitrogen (N2) soak or a tungsten nucleation layer deposition as described above with respect to FIG. 2A. A bulk layer deposition process is then performed as described above with respect to FIGS. 2C and 4, however, the grain growth begins anew, reducing roughness. In some embodiments, the bulk layer deposition conditions may be changed from operation 504 to operation 558. For example, operation 504 may involve a timing diagram as described in FIG. 2C, without nitrogen co-flow, with nitrogen added as in FIG. 4 for operation 558. Similarly, the chamber pressure and/or tungsten delivery pressure may be increased in operation 558.



FIG. 5C is a process flow diagram showing method of filling a 3D-NAND structure with tungsten. The method described in FIG. 5C may also be applied to other structures having gaps to be filled. The method begins at an operation 562 with depositing a tungsten nucleation layer to conformally line the wordline features with tungsten. Operation 562 may be performed as described above with reference to operations 202-210 of FIG. 2A. In some embodiments, silicon-containing and/or boron-containing reducing agents are employed during the tungsten nucleation layer deposition. In some embodiments, operation 502 may be omitted, as described above. Next, a bulk tungsten layer is deposited by ALD to fill the wordline features in the structure in an operation 564. Operation 564 may be performed as described above with reference to operations 282-290 of FIG. 2A and/or timing sequence diagrams 200 in FIG. 2C. Once the wordline features are filled, a smooth bulk tungsten layer is deposited outside of the wordline features in an operation 566. Operation 566 may use one or more of the techniques described above: adding N2 to the H2 pulses, increasing process pressure, increasing tungsten precursor delivery pressure, depositing a cap layer, and interrupting grain growth. In some embodiments, the transition from operation 564 to 566 is gradual; e.g., nitrogen may be gradually added to the reducing agent pulse as the wordline features near completion. It should also be noted that the wordline features may fill at different times; operation 566 may be implemented after some or all of the features are filled.



FIG. 5D is a process flow diagram showing another method of filling a 3D-NAND structure with tungsten. The method described in FIG. 5D may also be applied to other structures having gaps to be filled. The method begins at an operation 582 with depositing a thin tungsten nucleation layer to conformally line the wordline features with tungsten. Operation 582 may be performed as described above with reference to operations 202-210 of FIG. 2A. In some embodiments, silicon-containing and/or boron-containing reducing agents are employed during the tungsten nucleation layer deposition. In the method of FIG. 5D, however, the deposited nucleation layer is thinner than what otherwise be deposited. Ranges for nucleation layers in operation 582 may be between about 15 Å-25 Å, as opposed to 25 Å-40 Å that may be used in certain other embodiments. Reducing the nucleation thickness can effectively change the nucleation site density, thus modulating the grain size of crystalline growth and reducing roughness. Tungsten nucleation layers of less than 20 Å may be used. However, one drawback of reducing grain size may be an increase in resistivity. An increase in resistivity can occur due to excess boron from the reducing agent being present in the nucleation layer if the nucleation layer is not fully converted. In some embodiments, operation 582 involves mitigating excess boron residue by increasing argon dilution and/or increasing tungsten precursor exposure time. For example, in some embodiments, a B2H6:Ar dilution is at least 1:5 or 1:9. This is increased from a 1:3 dilution used in certain other nucleation layer depositions. The dilution can be increased even further, though at levels such as 1:20, the step coverage may be poor. In some embodiments, the dilution level is 1:5-1:12, for example. In some embodiments, the tungsten precursor pulse is of longer duration than the reducing agent pulse, for example at least 1.5 or 2 times as long.


In some embodiments, a thin template layer of tungsten is then deposited on the nucleation layer in an operation 584. This operation may be performed in addition to or instead of limiting boron incorporation in operation 582. In some embodiments, operation 584 may be omitted if resistance increase is effectively mitigated in operation 584. If performed, operation 584 involves ALD using pulses of WF6 (or other tungsten precursor) and H2 reducing agent a low temperatures, of between 250° C.-350° C., or in some embodiments, 250° C.-325° C., or 250° C.-300° C. In some embodiments, temperatures of less than 300° C. may be used. Example thicknesses of the template film may be 20 Å-50 Å, or about 30 Å. This low temperature template layer provides a better template for large grain growth in the subsequent bulk tungsten deposition. The method then continues with depositing bulk tungsten on the template layer in an operation 586. This also may be performed by an ALD WF6/H2 operation (or using other tungsten precursors) but at higher temperatures, e.g., 350°-450° C., or above 375° C. Examples of total tungsten deposition may be about 300 Å, including the nucleation layer, template layer, and bulk layer. In some embodiments, for example, a deposition sequence may involve:

    • ALD deposition of a tungsten nucleation layer: WF6/B2H6 pulses
    • ALD deposition of a template layer: WF6/H2 pulses at 250° C.-350° C.;
    • Raise substrate temperature, e.g., at least 50° C.;
    • ALD deposition of bulk layer: WF6/H2 pulses at 350° C.-450° C.


The method described in FIG. 5D may also be implemented in combination with any of the methods that are described above. For example, depositing a thin tungsten nucleation layer as described above, with or without a subsequent template layer, may be performed in any of the methods described with reference to FIGS. 5A-5C.


Apparatus


Any suitable chamber may be used to implement the disclosed embodiments. Example deposition apparatuses include various systems, e.g., ALTUS® and ALTUS® Max, available from Lam Research Corp., of Fremont, California, or any of a variety of other commercially available processing systems. In some embodiments, atomic layer deposition (ALD) may be performed at a first station that is one of two, five, or even more deposition stations positioned within a single deposition chamber. Thus, for example, diborane (B2H6) and tungsten hexafluoride (WF6) may be introduced in alternating pulses to the surface of the semiconductor substrate, at the first station, using an individual gas supply system that creates a localized atmosphere at the substrate surface. Another station may be used for tungsten bulk layer deposition. Another station may be used to deposit a cap layer by CVD. Two or more stations may be used to deposit tungsten in parallel processing. Alternatively a wafer may be indexed to have operations performed over two or more stations sequentially.



FIG. 6 is a schematic of a process system suitable for conducting deposition processes in accordance with embodiments. The system 600 includes a transfer module 603. The transfer module 603 provides a clean, pressurized environment to minimize risk of contamination of substrates being processed as they are moved between various reactor modules. Mounted on the transfer module 603 is a multi-station reactor 609 capable of performing ALD and CVD according to various embodiments. Multi-station reactor 609 may include multiple stations 611, 613, 615, and 617 that may sequentially perform operations in accordance with disclosed embodiments. For example, multi-station reactor 609 may be configured such that station 611 performs a tungsten nucleation layer deposition using a chlorine-containing tungsten precursor or a fluorine-containing precursor, and station 613 performs an ALD tungsten deposition operation according to various embodiments. In some embodiments, station 615 may also form an ALD tungsten deposition operation, and station 617 may perform a CVD operation.


Stations may include a heated pedestal or substrate support, one or more gas inlets or showerhead or dispersion plate. An example of a deposition station 700 is depicted in FIG. 7, including substrate support 702 and showerhead 703. A heater may be provided in pedestal portion 701.


Returning to FIG. 6, also mounted on the transfer module 503 may be one or more single or multi-station modules 607 capable of performing plasma or chemical (non-plasma) pre-cleans, other deposition operations, or etch operations. The module may also be used for various treatments to, for example, prepare a substrate for a deposition process. The system 600 also includes one or more wafer source modules 601, where wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 619 may first remove wafers from the source modules 601 to loadlocks 621. A wafer transfer device (generally a robot arm unit) in the transfer module 603 moves the wafers from loadlocks 621 to and among the modules mounted on the transfer module 603.


In various embodiments, a system controller 629 is employed to control process conditions during deposition. The controller 629 will typically include one or more memory devices and one or more processors. A processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.


The controller 629 may control all of the activities of the deposition apparatus. The system controller 629 executes system control software, including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power levels, wafer chuck or pedestal position, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller 629 may be employed in some embodiments.


Typically there will be a user interface associated with the controller 629. The user interface may include a display screen; graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


System control logic may be configured in any suitable way. In general, the logic can be designed or configured in hardware and/or software. The instructions for controlling the drive circuitry may be hard coded or provided as software. The instructions may be provided by “programming.” Such programming is understood to include logic of any form, including hard coded logic in digital signal processors, application-specific integrated circuits, and other devices which have specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor. System control software may be coded in any suitable computer readable programming language.


The computer program code for controlling the germanium-containing reducing agent pulses, hydrogen flow, and tungsten-containing precursor pulses, and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. Also as indicated, the program code may be hard coded.


The controller parameters relate to process conditions, such as, for example, process gas composition and flow rates, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 629. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus 600.


The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the deposition processes in accordance with the disclosed embodiments. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.


In some implementations, a controller 629 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller 629, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, water transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.


Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.


The controller 629, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller 629 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.


Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module; a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a CVD chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.


As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.


The controller 629 may include various programs. A substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target. A process gas control program may include code for controlling gas composition, flow rates, pulse times, and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber. A pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber. A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas such as helium to the wafer chuck.


Examples of chamber sensors that may be monitored during deposition include mass flow controllers, pressure sensors such as manometers, and thermocouples located in the pedestal or chuck. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain desired process conditions.


The apparatus may include a gas manifold system, which provides line charges to the various gas distribution lines as shown schematically in FIG. 8. Manifold 804 has an input 802 from a source of a tungsten-containing precursor gas (not shown), manifold 811 has an input 809 from a source of hydrogen or other reducing gas (not shown) and manifold 821 has an input 819 from a source of inert purge gas (not shown). The manifolds 804, 811 and 821 provide the tungsten-containing precursor gas, reducing gas and purge gas to the deposition chamber through valved distribution lines, 805, 813 and 825 respectively. The various valves are opened or closed to provide a line charge; i.e., to pressurize the distribution lines. For example, to pressurize distribution line 805, valve 806 is closed to vacuum and valve 808 is closed. After a suitable increment of time, valve 808 is opened and the tungsten-containing precursor gas is delivered to the chamber. Charging the tungsten precursor to a high pressure during ALD deposition of the bulk layer (e.g., to 400 Torr) can improve resistivity in some embodiments. After a suitable time for delivery of the gas, valve 808 is closed. The chamber can then be purged to a vacuum by opening of valve 806 to vacuum.


Similar processes are used to deliver the reducing gas and the purge gas. To introduce the reducing gas, for example, distribution line 813 is charged by closing valve 815 and closing valve 817 to vacuum. Opening of valve 815 allows for delivery of the reducing gas to the chamber. Similarly, to introduce the purge gas, distribution line 825 is charged by closing valve 827 and closing valve 823 to vacuum. Opening of valve 827 allows for delivery of the argon or other inert purge gas to the chamber. The amount of time allowed for line charges changes the amount and timing of the initial delivery of the gas.



FIG. 8 also shows vacuum pumps in which valves 806, 817 and 823, respectively, can be opened to purge the system. The supply of gas through the various distribution lines is controlled by a controller, such as a mass flow controller which is controlled by a microprocessor, a digital signal processor or the like, that is programmed with the flow rates, duration of the flow, and the sequencing of the processes.


Note that the processes described above may require precise timing of valves and mass flow controllers (MFCs) supplying pulses of reagent to the semiconductor substrate during deposition. In one way to make this possible, valve and MK commands are delivered to embedded digital input-output controllers (IOC) in discrete packets of information containing instructions for all time-critical commands for all or a part of a deposition sequence. The ALTUS systems of Lam Research provide at least one IOC sequence. The IOCs can be physically located at various points in the apparatus; e.g., within the process module or on a stand-alone power rack standing some distance away from the process module. There may be multiple IOCs in each module (e.g., 3 per module). With respect to the actual instructions included in a sequence, all commands for controlling valves and setting flow for MFCs (for all carrier and reactant gases) may be included in a single IOC sequence. This assures that the timing of all the devices is tightly controlled from an absolute standpoint and also relative to each other. There are typically multiple IOC sequences running at any given time. This allows for, say, ALD to run at station 1-2 with all timing controlled for all the hardware components needed to deposit a ALD-W nucleation layer at those stations. A second sequence might be running concurrently to deposit a tungsten bulk using the timing sequence described above at other deposition stations in the same module. The relative timing of the devices controlling the delivery of reagents to stations 3-4 is important within that group of devices, but the relative timing of the ALD process at stations 1-2 can be offset from the relative timing of stations 3-4. An IOC translates the information in a packetized sequence and delivers digital or analog command signals directly to MFC or pneumatic solenoid banks controlling the valves.


A pulse of tungsten-containing gas may be generated as follows. Initially, the system diverts WF6 to a vacuum pump for a period of time while the MFC or other flow-controlling device stabilizes. This may be done for a period of between about 0.5 to 5 seconds in one example. Next, the system pressurizes the tungsten gas delivery manifold by closing both the divert outlet 606 and the outlet 608 to the deposition chamber. This may be done for a period of between about 0.1 and 5 seconds, for example, to create an initial burst of reagent when the outlet to the deposition chamber is opened. This is accomplished by opening outlet valve 808 for between about 0.1 and 10 seconds in one example. Thereafter, the tungsten-containing gas is purged from the deposition chamber using a suitable purge gas. The pulsed flow of other reagents may be done in a similar manner.


The foregoing describes implementation of disclosed embodiments in a single or multi-chamber semiconductor processing tool. The apparatus and process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following steps, each step provided with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.


CONCLUSION

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims
  • 1. A method comprising: providing a structure to be filled with a tungsten-containing material;exposing the structure to multiple deposition cycles, wherein each deposition cycle comprises sequentially delivering a dose of hydrogen (H2) co-flowed with nitrogen (N2) and a dose of a tungsten precursor to a chamber housing the structure,wherein the N2 is greater than 30% (vol) of the total N2+H2 flow and the substrate temperature is at least 375° C. during the dose of H2 co-flowed with N2.
  • 2. The method of claim 1, wherein the structure is a partially fabricated three-dimension (3-D) NAND structure comprising sidewalls and a plurality of openings in the sidewalls leading to a plurality of features having a plurality of interior regions fluidically accessible through the openings.
  • 3. The method of claim 1, wherein the dose of the tungsten precursor is delivered at a higher pressure than the dose of H2 co-flowed with N2.
  • 4. The method of claim 1, wherein the dose of the tungsten precursor is delivered at a pressure of at least 300 Torr.
  • 5. The method of claim 1, wherein the dose of the tungsten precursor is delivered without nitrogen.
  • 6. The method of claim 1, wherein N2 is between 10-30% (vol.) of the total N2+H2 flow of the dose of H2 co-flowed with N2.
  • 7. The method of claim 6, wherein the substrate temperature is no more than 350° C. during the dose of H2 co-flowed with N2.
  • 8. The method of claim 1, wherein the tungsten precursor is tungsten hexafluoride.
  • 9. The method of claim 1, wherein the tungsten precursor is a chlorine-containing tungsten precursor.
  • 10. A method comprising: providing a partially fabricated three-dimensional (3-D) NAND structure having a central vertical structure having a plurality of openings on opposing sidewalls of the central vertical structure, the plurality of openings leading to wordline features separated by oxide layers, the wordline features to be filled with a tungsten-containing material;exposing the 3-D NAND structure to multiple deposition cycles, wherein each deposition cycle comprises sequentially delivering a dose of hydrogen (H2) and a dose of a tungsten precursor to deposit a bulk tungsten film in the wordline features; andforming a tungsten-containing cap layer on the opposing sidewalls of the central vertical structure including on the filled wordline features and the oxide layers.
  • 11. The method of claim 10, wherein the cap layer is a tungsten oxide layer.
  • 12. The method of claim 10, wherein the cap layer is an amorphous tungsten layer.
  • 13. The method of claim 10, wherein the structure is provided to a multi-station deposition chamber and wherein the multiple deposition cycles are performed in one or more first stations of the multi-station deposition chamber and the cap layer is formed in one or more second station of the multi-station deposition chamber.
  • 14. The method of claim 10, wherein the dose of H2 is co-flowed with N2.
  • 15. A method comprising: (a) depositing a tungsten nucleation layer in a feature on a substrate by alternating pulses of a tungsten precursor and a boron-containing reducing agent;(b) depositing a tungsten template layer on the tungsten nucleation layer by alternating pulses of a tungsten precursor and hydrogen (H2) at a substrate temperature between 250° C. and 350° C.;(c) after (b), raising the substrate temperature by at least 50° C.; and(d) after (c), depositing a tungsten bulk layer by alternating pulses of a tungsten precursor and hydrogen (H2) at a substrate temperature of at least 350° C.
  • 16. The method of claim 15, wherein the tungsten nucleation layer is deposited to a thickness of no more than 30 Å.
PCT Information
Filing Document Filing Date Country Kind
PCT/US2019/066301 12/13/2019 WO
Publishing Document Publishing Date Country Kind
WO2020/123987 6/18/2020 WO A
US Referenced Citations (315)
Number Name Date Kind
4746375 Iacovangelo May 1988 A
4804560 Shioya et al. Feb 1989 A
4874719 Kurosawa Oct 1989 A
4962063 Maydan et al. Oct 1990 A
5028565 Chang et al. Jul 1991 A
5227329 Kobayashi et al. Jul 1993 A
5250329 Miracky et al. Oct 1993 A
5250467 Somekh et al. Oct 1993 A
5308655 Eichman et al. May 1994 A
5326723 Petro et al. Jul 1994 A
5370739 Foster et al. Dec 1994 A
5391394 Hansen Feb 1995 A
5567583 Wang et al. Oct 1996 A
5633200 Hu May 1997 A
5661080 Hwang et al. Aug 1997 A
5726096 Jung Mar 1998 A
5795824 Hancock Aug 1998 A
5804249 Sukharev et al. Sep 1998 A
5817576 Tseng et al. Oct 1998 A
5833817 Tsai et al. Nov 1998 A
5913145 Lu et al. Jun 1999 A
5916365 Sherman Jun 1999 A
5916634 Fleming et al. Jun 1999 A
5926720 Zhao et al. Jul 1999 A
5956609 Lee et al. Sep 1999 A
5963833 Thakur Oct 1999 A
5994749 Oda Nov 1999 A
6001729 Shinriki et al. Dec 1999 A
6017818 Lu Jan 2000 A
6034419 Nicholls et al. Mar 2000 A
6037263 Chang Mar 2000 A
6066366 Berenbaum et al. May 2000 A
6099904 Mak et al. Aug 2000 A
6107200 Takagi et al. Aug 2000 A
6143082 McInerney et al. Nov 2000 A
6174812 Hsiung et al. Jan 2001 B1
6206967 Mak et al. Mar 2001 B1
6245654 Shih et al. Jun 2001 B1
6260266 Tamaki Jul 2001 B1
6265312 Sidhwa et al. Jul 2001 B1
6277744 Yuan et al. Aug 2001 B1
6284316 Sandhu et al. Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6294468 Gould-Choquette et al. Sep 2001 B1
6297152 Itoh et al. Oct 2001 B1
6306211 Takahashi et al. Oct 2001 B1
6309964 Tsai et al. Oct 2001 B1
6309966 Govindarajan et al. Oct 2001 B1
6310300 Cooney et al. Oct 2001 B1
6340629 Yeo et al. Jan 2002 B1
6355558 Dixit et al. Mar 2002 B1
6404054 Oh et al. Jun 2002 B1
6429126 Herner et al. Aug 2002 B1
6465347 Ishizuka et al. Oct 2002 B2
6491978 Kalyanam Dec 2002 B1
6551929 Kori et al. Apr 2003 B1
6566250 Tu et al. May 2003 B1
6566262 Rissman et al. May 2003 B1
6581258 Yoneda et al. Jun 2003 B2
6593233 Miyazaki et al. Jul 2003 B1
6607976 Chen et al. Aug 2003 B2
6635965 Lee et al. Oct 2003 B1
6706625 Sudijono et al. Mar 2004 B1
6720261 Anderson et al. Apr 2004 B1
6740585 Yoon et al. May 2004 B2
6777331 Nguyen Aug 2004 B2
6794287 Saanila et al. Sep 2004 B2
6797340 Fang et al. Sep 2004 B2
6844258 Fair et al. Jan 2005 B1
6861356 Matsuse et al. Mar 2005 B2
6902763 Elers et al. Jun 2005 B1
6903016 Cohen Jun 2005 B2
6905543 Fair et al. Jun 2005 B1
6908848 Koo Jun 2005 B2
6936538 Byun Aug 2005 B2
6939804 Lai et al. Sep 2005 B2
6962873 Park Nov 2005 B1
7005372 Levy et al. Feb 2006 B2
7141494 Lee et al. Nov 2006 B2
7157798 Fair et al. Jan 2007 B1
7211144 Lu et al. May 2007 B2
7220671 Simka et al. May 2007 B2
7235486 Kori et al. Jun 2007 B2
7262125 Wongsenakhum et al. Aug 2007 B2
7338900 Mizuno et al. Mar 2008 B2
7355254 Datta et al. Apr 2008 B2
7405158 Lai et al. Jul 2008 B2
7416979 Yoon et al. Aug 2008 B2
7419904 Kato Sep 2008 B2
7429402 Gandikota et al. Sep 2008 B2
7465665 Xi et al. Dec 2008 B2
7465666 Kori et al. Dec 2008 B2
7485340 Elers et al. Feb 2009 B2
7501343 Byun et al. Mar 2009 B2
7501344 Byun et al. Mar 2009 B2
7563718 Kim Jul 2009 B2
7589017 Chan et al. Sep 2009 B2
7595263 Chung et al. Sep 2009 B2
7605083 Lai et al. Oct 2009 B2
7611990 Yoon et al. Nov 2009 B2
7655567 Gao et al. Feb 2010 B1
7674715 Kori et al. Mar 2010 B2
7675119 Taguwa Mar 2010 B2
7691749 Levy et al. Apr 2010 B2
7695563 Lu et al. Apr 2010 B2
7709385 Xi et al. May 2010 B2
7732327 Lee et al. Jun 2010 B2
7745329 Wang et al. Jun 2010 B2
7745333 Lai et al. Jun 2010 B2
7749815 Byun Jul 2010 B2
7754604 Wongsenakhum et al. Jul 2010 B2
7772114 Chan et al. Aug 2010 B2
7955972 Chan et al. Jun 2011 B2
7964505 Khandelwal et al. Jun 2011 B2
7977243 Sakamoto et al. Jul 2011 B2
8048805 Chan et al. Nov 2011 B2
8053365 Humayun et al. Nov 2011 B2
8058170 Chandrashekar et al. Nov 2011 B2
8062977 Ashtiani et al. Nov 2011 B1
8071478 Wu et al. Dec 2011 B2
8087966 Hebbinghaus et al. Jan 2012 B2
8101521 Gao et al. Jan 2012 B1
8110877 Mukherjee et al. Feb 2012 B2
8119527 Chandrashekar et al. Feb 2012 B1
8129270 Chandrashekar et al. Mar 2012 B1
8207062 Gao et al. Jun 2012 B2
8258057 Kuhn et al. Sep 2012 B2
8329576 Chan et al. Dec 2012 B2
8367546 Humayun et al. Feb 2013 B2
8409985 Chan et al. Apr 2013 B2
8409987 Chandrashekar et al. Apr 2013 B2
8551885 Chen et al. Oct 2013 B2
8623733 Chen et al. Jan 2014 B2
8709948 Danek et al. Apr 2014 B2
8853080 Guan et al. Oct 2014 B2
8975184 Chen et al. Mar 2015 B2
8993055 Rahtu et al. Mar 2015 B2
9034760 Chen et al. May 2015 B2
9064684 Mui et al. Jun 2015 B1
9076843 Lee et al. Jul 2015 B2
9153486 Arghavani et al. Oct 2015 B2
9159571 Humayun et al. Oct 2015 B2
9236297 Chen et al. Jan 2016 B2
9240347 Chandrashekar et al. Jan 2016 B2
9583385 Lee et al. Feb 2017 B2
9589808 Bamnolker et al. Mar 2017 B2
9613818 Ba et al. Apr 2017 B2
9653353 Chandrashekar et al. May 2017 B2
9673146 Chen et al. Jun 2017 B2
9754824 Schloss et al. Sep 2017 B2
9969622 Lei et al. May 2018 B2
9978605 Bamnolker et al. May 2018 B2
10103058 Chandrashekar et al. Oct 2018 B2
10546751 Bamnolker et al. Jan 2020 B2
11348795 Schloss et al. May 2022 B2
11549175 Butail et al. Jan 2023 B2
20010007797 Jang et al. Jul 2001 A1
20010008808 Gonzalez Jul 2001 A1
20010014533 Sun Aug 2001 A1
20010015494 Ahn Aug 2001 A1
20010044041 Badding et al. Nov 2001 A1
20020037630 Agarwal et al. Mar 2002 A1
20020048938 Ishizuka et al. Apr 2002 A1
20020090796 Desai et al. Jul 2002 A1
20020117399 Chen et al. Aug 2002 A1
20020155722 Satta et al. Oct 2002 A1
20020168840 Hong et al. Nov 2002 A1
20020177316 Miller et al. Nov 2002 A1
20020190379 Jian et al. Dec 2002 A1
20030013300 Byun Jan 2003 A1
20030059980 Chen et al. Mar 2003 A1
20030082296 Elers et al. May 2003 A1
20030082902 Fukui et al. May 2003 A1
20030091870 Bhowmik et al. May 2003 A1
20030104126 Fang et al. Jun 2003 A1
20030123216 Yoon et al. Jul 2003 A1
20030127043 Lu et al. Jul 2003 A1
20030129828 Cohen et al. Jul 2003 A1
20030190802 Wang et al. Oct 2003 A1
20030209193 Van Wijck Nov 2003 A1
20030224217 Byun et al. Dec 2003 A1
20040014315 Lai et al. Jan 2004 A1
20040044127 Okubo et al. Mar 2004 A1
20040142557 Levy et al. Jul 2004 A1
20040151845 Nguyen et al. Aug 2004 A1
20040202786 Wongsenakhum et al. Oct 2004 A1
20040206267 Sambasivan et al. Oct 2004 A1
20040247788 Fang et al. Dec 2004 A1
20050009325 Chung et al. Jan 2005 A1
20050031786 Lee Feb 2005 A1
20050059236 Nishida et al. Mar 2005 A1
20050136594 Kim Jun 2005 A1
20050191803 Matsuse et al. Sep 2005 A1
20060003581 Johnston et al. Jan 2006 A1
20060040052 Fang et al. Feb 2006 A1
20060094238 Levy et al. May 2006 A1
20060145190 Salzman et al. Jul 2006 A1
20060211244 Deshpande et al. Sep 2006 A1
20060265100 Li Nov 2006 A1
20060284317 Ito et al. Dec 2006 A1
20070009658 Yoo et al. Jan 2007 A1
20070087560 Kwak et al. Apr 2007 A1
20070099420 Dominquez et al. May 2007 A1
20070190780 Chung et al. Aug 2007 A1
20070264105 Pharand et al. Nov 2007 A1
20080017891 Datta et al. Jan 2008 A1
20080045010 Wongsenakhum et al. Feb 2008 A1
20080081127 Thompson et al. Apr 2008 A1
20080081452 Kim et al. Apr 2008 A1
20080081453 Kim et al. Apr 2008 A1
20080081470 Clark Apr 2008 A1
20080124926 Chan et al. May 2008 A1
20080248649 Adetutu et al. Oct 2008 A1
20080254619 Lin et al. Oct 2008 A1
20080254623 Chan et al. Oct 2008 A1
20080268642 Yanagita et al. Oct 2008 A1
20080280438 Lai et al. Nov 2008 A1
20080283844 Hoshi et al. Nov 2008 A1
20080317954 Lu et al. Dec 2008 A1
20080317972 Hendriks et al. Dec 2008 A1
20090045517 Sugiura et al. Feb 2009 A1
20090050937 Murata et al. Feb 2009 A1
20090053893 Khandelwal et al. Feb 2009 A1
20090137117 Park et al. May 2009 A1
20090142509 Yamamoto Jun 2009 A1
20090149022 Chan et al. Jun 2009 A1
20090156004 Kori et al. Jun 2009 A1
20090160030 Tuttle Jun 2009 A1
20090163025 Humayun et al. Jun 2009 A1
20090315154 Kirby et al. Dec 2009 A1
20090321943 Meldrim et al. Dec 2009 A1
20100007797 Stojancic Jan 2010 A1
20100035427 Chan et al. Feb 2010 A1
20100055904 Chen et al. Mar 2010 A1
20100062149 Ma et al. Mar 2010 A1
20100072623 Prindle et al. Mar 2010 A1
20100120245 Tjandra et al. May 2010 A1
20100130002 Dao et al. May 2010 A1
20100130003 Lin et al. May 2010 A1
20100144140 Chandrashekar et al. Jun 2010 A1
20100155846 Mukherjee et al. Jun 2010 A1
20100159694 Chandrashekar et al. Jun 2010 A1
20100167527 Wu et al. Jul 2010 A1
20100171220 Huang Jul 2010 A1
20100244141 Beyer et al. Sep 2010 A1
20100244260 Hinomura Sep 2010 A1
20100267230 Chandrashekar et al. Oct 2010 A1
20100267235 Chen et al. Oct 2010 A1
20100273327 Chan et al. Oct 2010 A1
20100330800 Ivanov et al. Dec 2010 A1
20110020546 Hamalainen et al. Jan 2011 A1
20110059608 Gao et al. Mar 2011 A1
20110156154 Hoentschel et al. Jun 2011 A1
20110221044 Danek et al. Sep 2011 A1
20110223763 Chan et al. Sep 2011 A1
20110233778 Lee et al. Sep 2011 A1
20110236594 Haverkamp et al. Sep 2011 A1
20110281438 Lee et al. Nov 2011 A1
20120009785 Chandrashekar et al. Jan 2012 A1
20120015518 Chandrashekar et al. Jan 2012 A1
20120040530 Humayun et al. Feb 2012 A1
20120077342 Gao et al. Mar 2012 A1
20120164832 Chandrashekar et al. Jun 2012 A1
20120199887 Chan et al. Aug 2012 A1
20120225192 Yudovsky et al. Sep 2012 A1
20120231626 Lee et al. Sep 2012 A1
20120244699 Khandelwal et al. Sep 2012 A1
20120294874 Macary et al. Nov 2012 A1
20130043554 Piper Feb 2013 A1
20130062677 Li et al. Mar 2013 A1
20130109172 Collins et al. May 2013 A1
20130168864 Lee et al. Jul 2013 A1
20130285195 Piper Oct 2013 A1
20130302980 Chandrashekar et al. Nov 2013 A1
20140011358 Chen et al. Jan 2014 A1
20140027664 Lei et al. Jan 2014 A1
20140030889 Chen et al. Jan 2014 A1
20140061784 Kang Mar 2014 A1
20140061931 Kang Mar 2014 A1
20140073135 Guan et al. Mar 2014 A1
20140154883 Humayun et al. Jun 2014 A1
20140162451 Chen et al. Jun 2014 A1
20140209026 LaVoie et al. Jul 2014 A1
20140308812 Arghavani et al. Oct 2014 A1
20140319614 Paul et al. Oct 2014 A1
20150037972 Danek et al. Feb 2015 A1
20150056803 Chandrashekar et al. Feb 2015 A1
20150179461 Bamnolker et al. Jun 2015 A1
20150240359 Jdira et al. Aug 2015 A1
20150279732 Lee et al. Oct 2015 A1
20150325475 Bamnolker et al. Nov 2015 A1
20160118345 Chen et al. Apr 2016 A1
20160190008 Chandrashekar et al. Jun 2016 A1
20160233220 Danek et al. Aug 2016 A1
20160293467 Caveney et al. Oct 2016 A1
20160336222 Knapp et al. Nov 2016 A1
20160351401 Ba et al. Dec 2016 A1
20160351402 Suzuki et al. Dec 2016 A1
20160351444 Schloss et al. Dec 2016 A1
20170040214 Lai et al. Feb 2017 A1
20170069527 Haukka et al. Mar 2017 A1
20170117155 Bamnolker et al. Apr 2017 A1
20170133231 Bamnolker et al. May 2017 A1
20170306479 Raisanen et al. Oct 2017 A1
20170306490 Chan et al. Oct 2017 A1
20170365513 Yang et al. Dec 2017 A1
20180053660 Jandl et al. Feb 2018 A1
20180076042 Cheng et al. Mar 2018 A1
20180240675 Bamnolker et al. Aug 2018 A1
20190019725 Chandrashekar et al. Jan 2019 A1
20210238736 Butail et al. Aug 2021 A1
20220181158 Bowes et al. Jun 2022 A1
20220254685 Ermez et al. Aug 2022 A1
20220364232 Nannapaneni et al. Nov 2022 A1
20230130557 Birru et al. Apr 2023 A1
Foreign Referenced Citations (136)
Number Date Country
101154576 Apr 2008 CN
101308794 Nov 2008 CN
101447427 Jun 2009 CN
101540294 Sep 2009 CN
101572291 Nov 2009 CN
101770978 Jul 2010 CN
101952945 Jan 2011 CN
101970352 Feb 2011 CN
102867953 Jan 2013 CN
103125013 May 2013 CN
105097446 Nov 2015 CN
107768304 Mar 2018 CN
104752339 Jun 2019 CN
111357083 Jun 2020 CN
0 437 110 Jul 1991 EP
1 156 132 Nov 2001 EP
1 179 838 Feb 2002 EP
S5629648 Mar 1981 JP
S63274772 Nov 1988 JP
H0266399 Mar 1990 JP
H2-187031 Jul 1990 JP
H4-142061 May 1992 JP
H04216630 Aug 1992 JP
H5-226280 Sep 1993 JP
H7-147321 Jun 1995 JP
H07-226393 Aug 1995 JP
08-115984 May 1996 JP
H08325735 Dec 1996 JP
09-022896 Jan 1997 JP
09-027596 Jan 1997 JP
H10-144688 May 1998 JP
H10-163132 Jun 1998 JP
2966406 Oct 1999 JP
11-330006 Nov 1999 JP
2000-208516 Jul 2000 JP
2000-235962 Aug 2000 JP
2001-525889 Dec 2001 JP
2002-016066 Jan 2002 JP
2002-124488 Apr 2002 JP
2003-193233 Jul 2003 JP
2004-235456 Aug 2004 JP
2004-273764 Sep 2004 JP
2004536960 Dec 2004 JP
2005-029821 Feb 2005 JP
2005-518088 Jun 2005 JP
2007-009298 Jan 2007 JP
2007-027627 Feb 2007 JP
2007-027680 Feb 2007 JP
2007-507892 Mar 2007 JP
2007-520052 Jul 2007 JP
2007-250907 Sep 2007 JP
2007-251164 Sep 2007 JP
2008-016803 Jan 2008 JP
2008-060603 Mar 2008 JP
2008-091844 Apr 2008 JP
2008-283220 Nov 2008 JP
2008303466 Dec 2008 JP
2009-024252 Feb 2009 JP
2009-144242 Jul 2009 JP
2009-533877 Sep 2009 JP
2009-540123 Nov 2009 JP
2010-251760 Nov 2010 JP
2011-035366 Feb 2011 JP
2011192680 Sep 2011 JP
2013080891 May 2013 JP
2014049747 Mar 2014 JP
2015067869 Apr 2015 JP
2015514160 May 2015 JP
2015221940 Dec 2015 JP
2017008412 Jan 2017 JP
2017014615 Jan 2017 JP
2017053024 Mar 2017 JP
100196018 Jun 1999 KR
100272523 Dec 2000 KR
20010093766 Oct 2001 KR
20020040877 May 2002 KR
10-2002-0049730 Jun 2002 KR
20030050652 Jun 2003 KR
20040085153 Oct 2004 KR
10-2005-0022261 Mar 2005 KR
20050054122 Jun 2005 KR
20050068555 Jul 2005 KR
10-2005-0087428 Aug 2005 KR
10-2006-0087844 Aug 2006 KR
10-2007-705936 Apr 2007 KR
20080001460 Jan 2008 KR
20080015129 Feb 2008 KR
10-2008-0036679 Apr 2008 KR
20080060012 Jul 2008 KR
20080061978 Jul 2008 KR
10-2008-0101745 Nov 2008 KR
10-2008-0110897 Dec 2008 KR
20090068187 Jun 2009 KR
20090074560 Jul 2009 KR
10-2009-0095546 Sep 2009 KR
10-2009-0103815 Oct 2009 KR
20100014714 Feb 2010 KR
20100029952 Mar 2010 KR
20100114856 Oct 2010 KR
20110027607 Mar 2011 KR
10-2011-0056494 May 2011 KR
20110084166 Jul 2011 KR
20110105645 Sep 2011 KR
10-2011-0108382 Oct 2011 KR
20130119519 Oct 2013 KR
20140028992 Mar 2014 KR
101495372 Feb 2015 KR
20150128615 Nov 2015 KR
20160039139 Apr 2016 KR
102255768 May 2021 KR
310461 Jul 1997 TW
434708 May 2001 TW
452607 Sep 2001 TW
567544 Dec 2003 TW
200626748 Aug 2006 TW
200710968 Mar 2007 TW
201405781 Feb 2014 TW
201409697 Mar 2014 TW
201519317 May 2015 TW
WO 9851838 Nov 1998 WO
WO 0127347 Apr 2001 WO
WO 0129893 Apr 2001 WO
WO 0241379 May 2002 WO
WO-02101114 Dec 2002 WO
WO 03029515 Apr 2003 WO
WO 2005027211 Mar 2005 WO
WO 2005034223 Apr 2005 WO
WO 2007121249 Oct 2007 WO
WO 2007146537 Dec 2007 WO
WO 2010025357 Mar 2010 WO
WO 2011119293 Sep 2011 WO
WO 2013148880 Oct 2013 WO
WO-2013148444 Oct 2013 WO
WO 2014058536 Apr 2014 WO
WO-2019036292 Feb 2019 WO
WO-2019055317 Mar 2019 WO
Non-Patent Literature Citations (312)
Entry
U.S. Office Action, dated Apr. 7, 2014, issued in U.S. Appl. No. 13/633,502.
U.S. Final Office Action, dated Nov. 5, 2014, issued in U.S. Appl. No. 13/633,502.
U.S. Notice of Allowance, dated Mar. 2, 2015, issued in U.S. Appl. No. 13/633,502.
U.S. Notice of Allowance (Supplemental Notice of Allowability), dated Apr. 16, 2015, issued in U.S. Appl. No. 13/633,502.
U.S. Office Action, dated Jan. 12, 2016, issued in U.S. Appl. No. 14/738,685.
U.S. Final Office Action, dated Jul. 25, 2016, issued in U.S. Appl. No. 14/738,685.
U.S. Notice of Allowance, dated Oct. 13, 2016, issued in U.S. Appl. No. 14/738,685.
U.S. Office Action, dated May 30, 2014, issued in U.S. Appl. No. 13/862,048.
U.S. Final Office Action, dated Oct. 16, 2014, issued in U.S. Appl. No. 13/862,048.
U.S. Notice of Allowance, dated Apr. 28, 2015, issued in U.S. Appl. No. 13/862,048.
U.S. Notice of Allowance, dated Jun. 17, 2015, issued in U.S. Appl. No. 13/862,048.
U.S. Office Action, dated May 6, 2015, issued in U.S. Appl. No. 14/135,375.
U.S. Final Office Action, dated Sep. 29, 2015, issued in U.S. Appl. No. 14/135,375.
U.S. Office Action, dated Jan. 21, 2016, issued in U.S. Appl. No. 14/135,375.
U.S. Final Office Action, dated May 31, 2016, issued in U.S. Appl. No. 14/135,375.
U.S. Notice of Allowance, dated Oct. 25, 2016, issued in U.S. Appl. No. 14/135,375.
U.S. Office Action, dated Oct. 24, 2018, issued in U.S. Appl. No. 15/415,800.
U.S. Final Office Action dated Mar. 21, 2019, issued in U.S. Appl. No. 15/415,800.
U.S. Office Action, dated Dec. 11, 2014, issued in U.S. Appl. No. 14/173,733.
U.S. Notice of Allowance, dated Jun. 2, 2015, issued in U.S. Appl. No. 14/173,733.
U.S. Office Action, dated Feb. 1, 2016, issued in U.S. Appl. No. 14/723,275.
U.S. Office Action, dated Jul. 28, 2016, issued in U.S. Appl. No. 14/723,275.
U.S. Final Office Action, dated Jan. 20, 2017, issued in U.S. Appl. No. 14/723,275.
U.S. Notice of Allowance, dated May 4, 2017, issued in U.S. Appl. No. 14/723,275.
U.S. Office Action, dated Jul. 12, 2016, issued in U.S. Appl. No. 14/723,270.
U.S. Notice of Allowance, dated Nov. 18, 2016, issued in U.S. Appl. No. 14/723,270.
U.S. Office Action, dated Jul. 20, 2017, issued in U.S. Appl. No. 15/398,462.
U.S. Notice of Allowance, dated Jan. 19, 2018, issued in U.S. Appl. No. 15/398,462.
U.S. Office Action, dated Apr. 4, 2019, issued in U.S. Appl. No. 15/958,662.
U.S. Notice of Allowance, dated Sep. 6, 2019, issued in U.S. Appl. No. 15/958,662.
U.S. Office Action, dated Jul. 17, 2002, issued in U.S. Appl. No. 09/975,074.
U.S. Notice of Allowance, dated Mar. 12, 2003, issued in U.S. Appl. No. 09/975,074.
U.S. Office Action, dated Feb. 8, 2005, issued in U.S. Appl. No. 10/649,351.
U.S. Final Office Action, dated Jul. 14, 2005, issued in U.S. Appl. No. 10/649,351.
U.S. Office Action, dated Dec. 30, 2005, issued in U.S. Appl. No. 10/649,351.
U.S. Notice of Allowance, dated Jul. 21, 2006, issued in U.S. Appl. No. 10/649,351.
U.S. Office Action, dated Jun. 22, 2004, issued in U.S. Appl. No. 10/435,010.
U.S. Notice of Allowance, dated Oct. 7, 2004, issued in U.S. Appl. No. 10/435,010.
U.S. Notice of Allowance, dated Jan. 19, 2005, issued in U.S. Appl. No. 10/435,010.
U.S. Office Action, dated Nov. 23, 2005, issued in U.S. Appl. No. 10/984,126.
U.S. Final Office Action, dated May 17, 2006, issued in U.S. Appl. No. 10/984,126.
U.S. Notice of Allowance, dated Aug. 25, 2006, issued in U.S. Appl. No. 10/984,126.
U.S. Office Action, dated Mar. 23, 2005, issued in U.S. Appl. No. 10/690,492.
U.S. Notice of Allowance, dated Sep. 14, 2005, issued in U.S. Appl. No. 10/690,492.
U.S. Office Action, dated Jun. 27, 2008, issued in U.S. Appl. No. 11/305,368.
U.S. Office Action, dated Apr. 3, 2009, issued in U.S. Appl. No. 11/305,368.
U.S. Notice of Allowance, dated Nov. 17, 2009, issued in U.S. Appl. No. 11/305,368.
U.S. Office Action, dated Jul. 12, 2005, issued in U.S. Appl. No. 10/815,560.
U.S. Final Office Action, dated Dec. 28, 2005, issued in U.S. Appl. No. 10/815,560.
U.S. Office Action, dated Apr. 17, 2006, issued in U.S. Appl. No. 10/815,560.
U.S. Office Action, dated Sep. 28, 2006, issued in U.S. Appl. No. 10/815,560.
U.S. Notice of Allowance,, dated Apr. 24, 2007, issued in U.S. Appl. No. 10/815,560.
U.S. Office Action, dated Aug. 21, 2008, issued in U.S. Appl. No. 11/265,531.
U.S. Final Office Action, dated Feb. 26, 2009, issued in U.S. Appl. No. 11/265,531.
U.S. Notice of Allowance, dated May 4, 2009, issued in U.S. Appl. No. 11/265,531.
U.S. Office Action, dated Nov. 23, 2010, issued in U.S. Appl. No. 12/538,770.
U.S. Notice of Allowance, dated Jun. 30, 2011, issued in U.S. Appl. No. 12/538,770.
U.S. Office Action, dated Oct. 16, 2008, issued in U.S. Appl. No. 11/349,035.
U.S. Final Office Action, dated Feb. 25, 2009, issued in U.S. Appl. No. 11/349,035.
U.S. Office Action, dated Jun. 4, 2009, issued in U.S. Appl. No. 11/349,035.
U.S. Final Office Action, dated Nov. 20, 2009, issued in U.S. Appl. No. 11/349,035.
U.S. Notice of Allowance, dated Mar. 2, 2010, issued in U.S. Appl. No. 11/349,035.
U.S. Office Action, dated Sep. 29, 2008, issued in U.S. Appl. No. 11/782,570.
U.S. Final Office Action, dated Apr. 28, 2009, issued in U.S. Appl. No. 11/782,570.
U.S. Notice of Allowance, dated Sep. 17, 2009, issued in U.S. Appl. No. 11/782,570.
U.S. Office Action, dated Jan. 25, 2011, issued in U.S. Appl. No. 12/636,616.
U.S. Final Office Action, dated Jun. 15, 2011, issued in U.S. Appl. No. 12/636,616.
U.S. Notice of Allowance, dated Sep. 30, 2011, issued in U.S. Appl. No. 12/636,616.
U.S. Office Action, dated Jun. 24, 2009, issued in U.S. Appl. No. 12/030,645.
U.S. Final Office Action, dated Jan. 13, 2010, issued in U.S. Appl. No. 12/030,645.
U.S. Final Office Action, dated Jul. 23, 2010, issued in U.S. Appl. No. 12/030,645.
U.S. Notice of Allowance and Fee Due, dated Jan. 24, 2011, issued in U.S. Appl. No. 12/030,645.
U.S. Office Action, dated Aug. 6, 2012, issued in U.S. Appl. No. 13/095,734.
Notice of Allowance dated Dec. 3, 2012, issued in U.S. Appl. No. 13/095,734.
U.S. Office Action, dated Aug. 5, 2009, issued in U.S. Appl. No. 11/951,236.
U.S. Final Office Action, dated Jan. 26, 2010 from U.S. Appl. No. 11/951,236.
U.S. Notice of Allowance, dated Apr. 6, 2010, issued in U.S. Appl. No. 11/951,236.
U.S. Office Action, dated Jun. 30, 2011, issued in U.S. Appl. No. 12/829,119.
U.S. Final Office Action, dated Nov. 17, 2011, issued in U.S. Appl. No. 12/829,119.
U.S. Office Action, dated Apr. 19, 2012, issued in U.S. Appl. No. 12/829,119.
U.S. Notice of Allowance, dated Aug. 7, 2012, issued in U.S. Appl. No. 12/829,119.
U.S. Office Action, dated Jun. 11, 2009, issued in U.S. Appl. No. 11/963,698.
U.S. Final Office Action, dated Dec. 9, 2009, issued in U.S. Appl. No. 11/963,698.
U.S. Office Action, dated Jun. 11, 2010, issued in U.S. Appl. No. 11/963,698.
U.S. Final Office Action, dated Dec. 30, 2010, issued in U.S. Appl. No. 11/963,698.
U.S. Notice of Allowance, dated Sep. 2, 2011, issued in U.S. Appl. No. 11/963,698.
U.S. Office Action, dated Apr. 16, 2012, issued in U.S. Appl. No. 13/276,170.
U.S. Notice of Allowance, dated Oct. 4, 2012, issued in U.S. Appl. No. 13/276,170.
U.S. Notice of Allowance, dated Jul. 25, 2011, issued in U.S. Appl. No. 12/363,330.
U.S. Office Action dated Oct. 21, 2009, issued in U.S. Appl. No. 12/202,126.
U.S. Final Office Action, dated May 7, 2010, issued in U.S. Appl. No. 12/202,126.
U.S. Office Action, dated Jul. 26, 2010 issued in U.S. Appl. No. 12/202,126.
U.S. Final Office Action, dated Feb. 7, 2011, issued in U.S. Appl. No. 12/202,126.
U.S. Office Action, dated Jan. 7, 2013, issued in U.S. Appl. No. 12/202,126.
U.S. Notice of Allowance, dated Jun. 7, 2013, issued in U.S. Appl. No. 12/202,126.
U.S. Office Action, dated May 3, 2010, issued in U.S. Appl. No. 12/407,541.
U.S. Final Office Action, dated Oct. 19, 2010, issued in U.S. Appl. No. 12/407,541.
U.S. Office Action, dated May 2, 2011, issued in U.S. Appl. No. 12/407,541.
U.S. Notice of Allowance, dated Sep. 19, 2011, issued in U.S. Appl. No. 12/407,541.
U.S. Office Action, dated Mar. 6, 2012, issued in U.S. Appl. No. 13/244,016.
U.S. Notice of Allowance dated Nov. 29, 2012, issued in U.S. Appl. No. 13/244,016.
U.S. Office Action, dated Jun. 14, 2011, issued in U.S. Appl. No. 12/556,490.
U.S. Notice of Allowance, dated Mar. 2, 2012, issued in U.S. Appl. No. 12/556,490.
U.S. Office Action, dated May 13, 2011, issued in U.S. Appl. No. 12/755,248.
U.S. Office Action, dated Oct. 28, 2011, issued in U.S. Appl. No. 12/755,248.
U.S. Final Office Action, dated Apr. 30, 2012, issued in U.S. Appl. No. 12/755,248.
U.S. Office Action, dated Feb. 15, 2013, issued in U.S. Appl. No. 12/755,248.
U.S. Office Action dated Dec. 18, 2012, issued in U.S. Appl. No. 12/723,532.
U.S. Office Action dated Jul. 18, 2013, issued in U.S. Appl. No. 12/723,532.
U.S. Notice of Allowance dated Dec. 24, 2013, issued in U.S. Appl. No. 12/723,532.
U.S. Office Action, dated Feb. 16, 2012, issued in U.S. Appl. No. 12/755,259.
U.S. Final Office Action, dated Sep. 12, 2012, issued in U.S. Appl. No. 12/755,259.
U.S. Notice of Allowance, dated Jul. 10, 2013, issued in U.S. Appl. No. 12/755,259.
U.S. Notice of Allowance dated Sep. 4, 2013 issued in U.S. Appl. No. 12/755,259.
U.S. Office Action, dated Dec. 18, 2014, issued in U.S. Appl. No. 14/097,160.
U.S. Final Office Action, dated Jun. 2, 2015, issued in U.S. Appl. No. 14/097,160.
U.S. Notice of Allowance, dated Sep. 9, 2015, issued in U.S. Appl. No. 14/097,160.
U.S. Office Action, dated Jul. 7, 2016, issued in U.S. Appl. No. 14/989,444.
U.S. Notice of Allowance, dated Jan. 20, 2017, issued in U.S. Appl. No. 14/989,444.
U.S. Office Action, dated May 10, 2012, issued in U.S. Appl. No. 13/020,748.
U.S. Final Office Action, dated Nov. 16, 2012, issued in U.S. Appl. No. 13/020,748.
U.S. Office Action, dated Feb. 24, 2014, issued in U.S. Appl. No. 13/020,748.
U.S. Final Office Action, dated Jul. 2, 2014, issued in U.S. Appl. No. 13/020,748.
U.S. Office Action, dated Dec. 23, 2014, issued in U.S. Appl. No. 13/851,885.
U.S. Notice of Allowance, dated Aug. 3, 2016, issued in U.S. Appl. No. 13/851,885.
U.S. Notice of Allowance, dated Dec. 14, 2016, issued in U.S. Appl. No. 13/851,885.
U.S. Notice of Allowance, dated Mar. 24, 2017, issued in U.S. Appl. No. 13/851,885.
U.S. Office Action, dated Dec. 18, 2014, issued in U.S. Appl. No. 14/502,817.
U.S. Final Office Action, dated Jul. 17, 2015, issued in U.S. Appl. No. 14/502,817.
U.S. Notice of Allowance, dated Sep. 25, 2015, issued in U.S. Appl. No. 14/502,817.
U.S. Office Action, dated Sep. 2, 2016, issued in U.S. Appl. No. 14/965,806.
U.S. Final Office Action, dated Apr. 14, 2017, issued in U.S. Appl. No. 14/965,806.
U.S. Office Action, dated Sep. 11, 2017, issued in U.S. Appl. No. 14/965,806.
U.S. Office Action, dated Sep. 18, 2014, issued in U.S. Appl. No. 13/928,216.
U.S. Notice of Allowance, dated Jan. 22, 2015, issued in U.S. Appl. No. 13/928,216.
U.S. Office Action, dated Jun. 20, 2013, issued in U.S. Appl. No. 13/560,688.
U.S. Final Office Action, dated Feb. 14, 2014, issued in U.S. Appl. No. 13/560,688.
U.S. Notice of Allowance, dated Nov. 4, 2014, issued in U.S. Appl. No. 13/560,688.
U.S. Office Action, dated May 29, 2015, issued in U.S. Appl. No. 13/949,092.
U.S. Final Office Action, dated Jan. 14, 2016, issued in U.S. Appl. No. 13/949,092.
U.S. Office Action, dated Sep. 19, 2016, issued in U.S. Appl. No. 13/949,092.
U.S. Final Office Action, dated May 18, 2017, issued in U.S. Appl. No. 13/949,092.
U.S. Notice of Allowance, dated Jan. 12, 2018, issued in U.S. Appl. No. 13/949,092.
U.S. Office Action, dated Jun. 14, 2013, issued in U.S. Appl. No. 13/633,798.
U.S. Final Office Action, dated Nov. 26, 2013, issued in U.S. Appl. No. 13/633,798.
U.S. Notice of Allowance, dated May 23, 2014, issued in U.S. Appl. No. 13/633,798.
Taiwan Examination Report, dated Jun. 22, 2017, issued in Application No. TW 103113287.
Taiwan First Office Action [Reissued] dated Jun. 20, 2018, issued in Application No. TW 103144260.
Chinese First Office Action dated Jun. 2, 2017 issued in Application No. CN 201410856793.7.
Chinese Second Office Action dated Feb. 5, 2018 issued in Application No. CN 201410856793.7.
Chinese Third Office Action dated Oct. 8, 2018 issued in Application No. CN 201410856793.7.
Taiwan First Office Action dated Jun. 27, 2018 issued in Application No. TW 103145125.
PCT Search Report and Written Opinion, dated Jan. 19, 2005, issued in PCT/US2004/006940.
Korean First Notification of Provisional Rejection, dated Dec. 8, 2010, issued in Application No. KR 2004-0036346.
Korean Office Action, dated Jun. 13, 2011, issued in Application No. KR 2011-0032098.
Korean Office Action, dated Nov. 24, 2010, issued in Application No. KR 10-2004-0013210.
Korean Office Action, dated Mar. 28, 2013, issued in Application No. KR 10-2007-0012027.
Japanese Office Action dated May 7, 2013, issued in Application No. JP 2008-310322.
Japanese Office Action dated Sep. 3, 2013, issued in Application No. JP 2008-325333.
PCT International Search Report and Written Opinion, dated Apr. 12, 2010, issued in PCT/US2009/055349.
PCT International Preliminary Report on Patentability and Written Opinion, dated Mar. 10, 2011, issued in PCT/US2009/055349.
Chinese First Office Action dated Sep. 18, 2012 issued in Application No. CN 200980133560.1.
Chinese Second Office Action dated Aug. 7, 2013 issued in Application No. CN 200980133560.1.
Chinese Third Office Action dated Apr. 22, 2014 issued in Application No. CN 200980133560.1.
Chinese Fourth Office Action dated Jan. 5, 2015 issued in Application No. CN 200980133560.1.
Chinese Fifth Office Action dated May 5, 2015 issued in Application No. CN 200980133560.1.
Japanese Office Action dated Dec. 3, 2013 issued in Application No. JP 2011-525228.
Korean Office Action dated Sep. 6, 2012 issued in Application No. KR 2011-7004322.
Korean Office Action dated Jul. 19, 2013 issued in Application No. KR 2011-7004322.
Korean Office Action dated Nov. 4, 2013 issued in Application No. KR 10-2013-7027117.
Korean Office Action dated Jun. 17, 2014 issued in Application No. KR 10-2013-7027117.
Japanese Office Action dated Jun. 17, 2014 issued in Application No. JP 2010-055163.
Korean Office Action dated Mar. 21, 2013 issued in Application No. KR 10-2010-0024905.
Taiwan Office Action dated Jun. 8, 2015 issued in Application No. TW 099107504.
Korean Notification of Provisional Rejection dated Jul. 17, 2012, issued in Application No. KR 2010-0087997.
Taiwan Office Action and Search Report dated Feb. 12, 2015 issued in Application No. TW 099130354.
Taiwan Office Action (Rejection Decision) dated Oct. 28, 2015 issued in Application No. TW 099130354.
Taiwan Search Report dated Nov. 30, 2016 issued in Application No. TW 099130354.
Japanese Office Action dated Mar. 4, 2014 issued in Application No. JP 2010-093522.
Korean Office Action dated Mar. 4, 2013 in Application No. KR 2010-0035449.
Taiwan Office Action dated Dec. 27, 2014 issued in Application No. TW 099111860.
Japanese Office Action dated Jul. 29, 2014 issued in Application No. JP 2010-093544.
Korean Second Office Action dated Jan. 25, 2014 in Application No. KR 10-2010-0035453.
Korean First Office Action dated Jul. 10, 2015 issued in Application No. KR 10-2014-0090283.
Taiwan Office Action dated Aug. 4, 2015 issued in Application No. TW 099111859.
Taiwan Office Action dated Jan. 10, 2017 issued in Application No. TW 105105984.
PCT Search Report and Written Opinion, dated Apr. 13, 2020, issued in PCT/US19/66301.
PCT International Search Report and Written Opinion, dated Jul. 26, 2013, issued in PCT/US2013/034167.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 9, 2014, issued in PCT/US2013/034167.
Chinese First Office Action dated Mar. 18, 2016 issued in Application No. CN 201380022693.8.
Chinese Second Office Action dated Jan. 23, 2017 issued in Application No. CN 201380022693.8.
Chinese Third Office Action dated Sep. 25, 2017 issued in Application No. CN 201380022693.8.
Chinese Fourth Office Action dated Mar. 15, 2018 issued in Application No. CN 201380022693.8.
Chinese Decision of Final Rejection dated Aug. 24, 2018 issued in Application No. CN 201380022693.8.
Japanese Notification of Reasons for Rejection dated Dec. 20, 2016 issued in Application No. JP 2015-503547.
Korean First Office Action dated Apr. 18, 2019 issued in Application No. KR 10-2014-7030125.
Taiwan Office Action dated Oct. 25, 2016 issued in Application No. TW 102110947.
Taiwan Examination Report dated Dec. 26, 2016 issued in Application No. TW 102123248.
Korean First Office Action dated Aug. 30, 2019 issued in Application No. KR 10-2013-0075854.
Korean First Office Action dated Sep. 24, 2019 issued in Application No. KR 10-2013-0089834.
Taiwan Examination Report dated Oct. 26, 2016 issued in Application No. TW 102126976.
Chinese First Office Action dated Sep. 6, 2015 issued in Application No. CN 201310320848.8.
Chinese Second Office Action dated May 16, 2016 issued in Application No. CN 201310320848.8.
Taiwan Examination Report dated Oct. 26, 2016 issued in Application No. TW 102126696.
Taiwan Examination Report dated Mar. 16, 2017 issued in Application No. TW 102132433.
Becker, Jill (Apr. 7, 2003) “Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(dimethylamido)tungsten and ammonia,” Applied Physics Letters, 82(14):2239-2241, [Retrieved online Dec. 13, 2013 at http://dx.doi.org/10.1063/1.1565699].
Bell et al. (Jan. 1996) “Batch Reactor Kinetic Studies of Tungsten LPCVD from Silane and Tungsten Hexafluoride”, J. Electrochem. Soc., 143(1):296-302.
Collins et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten for Plugfill of High Aspect Ratio Contacts,” Presentation made at Semicon Korea, 9 pages.
Diawara, Y. et al. (1993) “Rapid thermal annealing for reducing stress in tungsten x-ray mask absorber,” http://dx.doi.org/10.1116/1.586673, Journal of Vacuum Science & Technology B 11:296-300 (per table of contents of journal).
Elam et al. (2001) “Nucleation and Growth During Tungsten Atomic Layer Deposition on SiO2 Surfaces,” Thin Solid Films, 13pp.
Fair, James A. (1983) Presentation by Inventor “Chemical Vapor Deposition of Refractory Metal Silicides,” GENUS Incorporated, 27 pp.
George et al. (1996) “Surface Chemistry for atomic Layer Growth”, J. Phys. Chem, 100(31):13121-13131.
Gonohe, Narishi (2002) “Tungsten Nitride Deposition by Thermal Chemical Vapor Deposition as Barrier Metal for Cu Interconnection,” [http://www.jim.co.jp/journal/e/pdf3/43/07/1585.pdf.], Materials Transactions, 43(7):1585-1592.
Hoover, Cynthia (Jul. 2007) “Enabling Materials for Contact Metallization,” Praxair Electronic Materials R&D, pp. 1-16.
Klaus et al. (2000) “Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction,” Thin Solid Films 360:145-153.
Klaus et al. (2000) “Atomically Controlled Growth of Tungsten and Tungsten Nitride Using Sequential Surface Reactions,” Applied Surface Science, pp. 162-163, 479-491.
Lai, Ken et al. (Jul. 17, 2000) “Tungsten chemical vapor deposition using tungsten hexacarbonyl: microstructure of as-deposited and annealed films,” [http://dx.doi.org/10.1016/S0040-6090(00)00943-3], Thin Solid Films, 370:114-121.
Lai, Ken K. and Lamb, H. Henry (1995) “Precursors for Organometallic Chemical Vapor Deposition of Tungsten Carbide Films,” Chemistry Material, 7(12):2284-2292.
Lee et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten and its Application for Plugfill of High Aspect Ratio Contacts,” Abstract, 1 page.
Li et al. (2002) “Deposition of WNxCy Thin Films by ALCVD™ Method for Diffusion Barriers in Metallization,” IITC Conference Report, 3 pp.
Manik. P, et al. (2012) “Fermi-level unpinning and low resistivity in contacts to n-type Ge with a thin ZnO interfacial layer,” App. Phys. Lett. 101:182105-5.
Saito et al. (2001) “A Novel Copper Interconnection Technology Using Self Aligned Metal Capping Method,” IEEE, 3pp.
Shioya, Yoshimi et al. (Dec. 1, 1985) “Analysis of stress in chemical vapor deposition tungsten silicide film,” [Retrieved online Dec. 18, 2013 at http://dx.doi.org/10.1063/1.335552], Journal of Applied Physics, 58(11):4194-4199.
U.S. Appl. No. 13/758,928, filed Feb. 4, 2013, Humayun et al.
Aldjapan.com “Principle—ALD Japan, Inc.” [webpage] pp. 1-10. [retrieved from URL: https://aldjapan.com/%E5%8E%9F%E7%90%86/].
Anonymous, “Lam Research enables next-generation memory with industry's first ALD process for low-fluorine tungsten fill” Semiconductor Digest News and Industry Trends for Solid State Technology, Aug. 2016, 2 Pages.
Chinese First Office Action dated Oct. 27, 2020 issued in Application No. CN 201811491805.5.
CN Office Action dated Feb. 14, 2023 in Application No. CN202080037670.4 with English translation.
CN Office Action dated Mar. 30, 2023, in Application No. CN202080027971.9 with English translation.
CN Office Action dated Oct. 11, 2022, in Application No. CN201910418672.7 with English translation.
Coventor Brochure “3D NAND: Challenges Beyond 96-Layer Memory Arrays”, Oct. 12, 2018, pp. 1-4.
Dominique, S. et al., “An alternative to Tungsten in 3D-NAND technology”, IEEE International Interconnect Technology Conference (IITC), 2021, pp. 1-3.
Habuka, Hitoshi (2010) “Advance of Atomic Layer Deposition in Semiconductor Materials Manufacturing Process: Cleaning Technology for Thin Film Formation Reactor” Department of Chemical and Energy Engineering, Yokohama National University, 79, 5 Tokiwadai Hodogaya-ku Kanagawa 240, 8501, Japan.
International Preliminary Report on Patentability and written opinion dated Sep. 15, 2022, in PCT Application No. PCT/US2021/020748.
International Preliminary Report on Patentability dated Dec. 2, 2021, issued in PCT/US2020/033461.
International Preliminary Report on Patentability dated Feb. 9, 2023 in PCT Application No. PCT/US2020/070325.
International Preliminary Report on Patentability dated Feb. 24, 2022 in PCT Application No. PCT/US2020/070394.
International Preliminary Report on Patentability dated Jun. 24, 2021 issued in Application No. PCT/US2019/066301.
International Preliminary Report on Patentability dated Nov. 12, 2020 in Application No. PCT/US2019/030712.
International Preliminary Report on Patentability dated Oct. 21, 2021, in application No. PCT/US2020/027107.
International Search Report and Written Opinion dated Apr. 27, 2021 in PCT Application No. PCT/US2020/070325.
International Search Report and Written Opinion dated Aug. 19, 2019 in Application No. PCT/US2019/030712.
International Search Report and Written Opinion dated Jul. 24, 2020 issued in Application No. PCT/US2020/027107.
International Search Report and Written Opinion dated Jun. 18, 2021, in PCT Application No. PCT/US2021/020748.
International Search Report and Written Opinion dated Nov. 27, 2020, in PCT Application No. PCT/US2020/070394.
International Search Report and Written Opinion dated Sep. 4, 2020 in PCT Application No. PCT/US2020/033461.
Jamie, W. et al., “In Situ Atomic Layer Deposition and Electron Tunneling Characterization of Monolayer Al2O3 on Fe for Magnetic Tunnel Junctions”, AIP Advances, 2018, vol. 8, No. 125218, pp. 1-9.
Japanese First Office Action dated Jun. 24, 2020 issued in Application No. JP 2016-105216.
Japanese First Office Action dated Jun. 3, 2020 issued in Application No. JP 2016-104837.
Japanese Office Action dated Feb. 14, 2023 issued in Application No. JP2020-508312 with English translation.
Japanese Second Office Action dated Apr. 5, 2021 issued in Application No. JP 2016-104837.
Japanese Second Office Action dated Mar. 3, 2021 issued in Application No. JP 2016-105216.
Johnson, R.W., Hultqvist, A., Bent, S.F., “A brief review of atomic layer deposition: from fundamentals to applications”, Materials today, (Jun. 1, 2014), 17(5):236-46.
JP Office Action dated Jul. 19, 2022, in Application No. JP2020-508312 with English translation.
JP Office Action dated Jun. 6, 2023 in Application No. JP2020561743 With English translation.
JP Office Action dated May 31, 2022, in Application No. JP2016-104837 with English translation.
JP Office Action dated Nov. 24, 2021, in Application No. JP20160104837 with English translation.
Kim, K. et al., “Simulation of Residual Stress and Its Impact on a Poly-Silicon Channel for Three-Dimensional, Stacked, Vertical-NAND Flash Memories”, Journal of the Korean Physical Society, 2017, vol. 70 (12), pp. 1041-1048.
Kim, S. et al., “Effects of B2H6 Pretreatment on ALD of W Film Using a Sequential Supply of WF6 and SiH4”, Electrochemical and Solid-State Letters, The Electrochemical Society, 2005, vol. 8, No. 10, pp. C155-C159.
Korean Decision for Grant dated Apr. 7, 2020 issued in Application No. KR 10-2020-7000199.
Korean Decision for Grant dated Nov. 5, 2021 issued in Application No. KR 10-2014- 0192527.
Korean Final Rejection dated Jun. 30, 2021 issued in Application No. KR 10-2014-0192527.
Korean Final Rejection Office Action dated Apr. 27, 2021 issued in Application No. KR 10-2016-0064157.
Korean First Office Action dated Aug. 2, 2021, issued in Application No. KR 10-2014-0184759.
Korean First Office Action dated Aug. 6, 2020 issued in Application No. KR 10-2014-0044410.
Korean First Office Action dated Dec. 21, 2020 issued in Application No. KR 10-2014-0192527.
Korean First Office Action dated Jan. 1, 2019 issued in Application No. KR 10-2013-0089130.
Korean First Office Action dated Jul. 12, 2021 issued in Application No. KR 10-2021-0063953.
Korean First Office Action dated Nov. 25, 2020 issued in Application No. KR 10-2020-0124056.
Korean First Office Action dated Oct. 1, 2020 issued in Application No. KR 10-2016-0064157.
Korean First Office Action dated Oct. 21, 2019 issued in Application No. KR 10-2013-0108151 with English translation.
Korean Office Action dated Jul. 28, 2021 issued in Application No. KR 10-2016-0064157.
Korean Office Action dated Jun. 21, 2021 issued in Application No. KR 10-2020-0124056.
Korean Office Action dated Jun. 28, 2020 issued in Application No. KR 10-2020-0141428.
Korean Office Action dated Nov. 30, 2020 issued in Application No. KR 10-2020-0141428.
Korean Second Office Action dated Apr. 7, 2020 issued in Application No. KR 10-2013-0075854.
Korean Second Office Action dated Mar. 11, 2020 issued in Application No. KR 10-2013-0108151.
Korean Third Office Action dated Jun. 25, 2020 issued in Application No. KR 10-2013-0108151.
Korean Third Office Action dated Jun. 29, 2020 issued in Application No. KR 10-2013-0075854.
KR Office Action dated Dec. 14, 2021, in application No. 20210063953 with English translation.
KR Final Rejection dated Oct. 5, 2021, in application No. KR1020200141428 with English translation.
KR Office Action dated Apr. 19, 2022, in application No. KR20140184759 with English Translation.
KR Office Action dated Dec. 1, 2022, in Application No. KR10-2022-0088685 with English translation.
KR Office Action dated Dec. 6, 2022, in Application No. KR10-2022-0106634 with English translation.
KR Office Action dated Feb. 11, 2023 in Application No. KR10-2016-0064757 with English translation.
KR Office Action dated Feb. 16, 2022, in Application No. KR1020160064157 with English translation.
KR Office Action dated Jan. 11, 2022, in KR Application No. 10-2022-0000825 with English translation.
KR Office Action dated Jun. 23, 2022, in Application No. KR10-2022-0015236 with English translation.
KR Office action dated May 25, 2022, in Application No. KR20210063953 with English Translation.
Lee, B. et al., “ALD Tungsten Solves Capacity Challenges in 3D NAND Device Manufacturing”, Lam Brochure, Jan. 2019, pp. 1-4.
Li, Z. et al., “Atomic Layer Deposition of Ultrathin Copper Metal Films from a Liquid Copper(I) Amidinate Precursor”, Journal of The Electrochemical Society, 2006, vol. 153, No. 11, pp. C787-C794.
Lim, B. et al., “Atomic Layer Deposition of Transition Metals”, Nature Materials, 2003, vol. 2, pp. 749-754.
Taiwanese First Office Action dated Nov. 13, 2019 issued in Application No. TW 105116371.
Taiwanese First Office Action dated Nov. 25, 2019 issued in Application No. TW 105116363.
Taiwanese Second Office Action dated Aug. 19, 2020 issued in Application No. TW 105116371.
Taiwanese Third Office Action dated Mar. 16, 2021 issued in Application No. TW 105116371.
TW Office Action dated Feb. 21, 2022, in Application No. TW107128141 with English translation.
U.S. Corrected Notice of Allowance dated May 5, 2022 in U.S. Appl. No. 16/638,430.
U.S. Non-Final Office Action dated Dec. 15, 2021 in U.S. Appl. No. 17/250,014.
U.S. Non-Final Office Action dated Jul. 7, 2023, in U.S. Appl. No. 17/633,562.
U.S. Non-Final Office Action dated Sep. 21, 2021, in U.S. Appl. No. 16/638,430.
U.S. Notice of Allowance dated Jan. 25, 2022, in U.S. Appl. No. 16/638,430.
U.S. Notice of Allowance dated Jun. 7, 2022 in U.S. Appl. No. 17/250,014.
U.S. Notice of Allowance dated Sep. 16, 2022 in U.S. Appl. No. 17/250,014.
U.S. Office Action Restriction/Election dated Sep. 9, 2021 issued in U.S. Appl. No. 17/250,014.
U.S. Appl. No. 17/907,959, inventors Birru et al., filed Aug. 29, 2022.
U.S. Appl. No. 18/003,137, inventors Schloss et al., filed Dec. 22, 2022.
U.S. Restriction requirement dated Apr. 20, 2023 in U.S. Appl. No. 17/633,562.
U.S. Restriction requirement dated Jun. 15, 2023 in U.S. Appl. No. 17/601,918.
U.S. Supplemental Notice of Allowance dated Dec. 14, 2022 in U.S. Appl. No. 17/250,014.
Wikipedia “Atomic layer deposition” [webpage] Mar. 25, 2020, pp. 1-9. retrieved from, URL: https://ja.wikipedia.org/w/index.php?title=Atomic Layer Deposition & oldid=76757564.
JP Office Action dated Jan. 30, 2024 in JP Application No. JP2021-533642, with English Translation.
Related Publications (1)
Number Date Country
20210335617 A1 Oct 2021 US
Provisional Applications (1)
Number Date Country
62780006 Dec 2018 US