Compositions for cleaning organic and plasma etched residues for semiconductor devices

Abstract
A composition for the stripping of photoresist and the cleaning of residues from substrates, and for silicon oxide etch, comprising from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 10 percent by weight to about 95% by weight of a sulfoxide or sulfone solvent, and from about 20 percent by weight to about 50 percent by weight water. The composition may contain corrosion inhibitors, chelating agents, co-solvents, basic amine compounds, surfactants, acids and bases.
Description
FIELD OF THE INVENTION

This invention relates to semi-aqueous stripping and cleaning compositions that are particularly useful for stripping photoresists and cleaning organic and inorganic compounds, including post etch and post ash residues, from a semiconductor substrate. The invention is also useful as a silicon oxide etchant.


BACKGROUND

Fluoride containing chemistries have been used for many years with prime silicon wafers (wafers that have not yet undergone ion implantation or device construction) in the semiconductor industry. Normally the fluoride chemistry (usually dilute hydrofluoric acid) is used as the last process step in the sequence called “RCA rinses”. The substrate is often contaminated from previous process steps with monolayer amounts of metal, anions and/or organic contaminants or surface residues (particles). These contaminants have been shown to have a significant impact on the electrical integrity of simple test device structures and these structures need to be cleaned efficiently without impairing their integrity. Such cleaning methods could include techniques discussed in the technical literature, for example, mt. Conf. On Solid State Devices and Materials, 1991, pp. 484-486 or Kujime, T. et al., Proc. of the 1996 Semi. Pure Water and Chemicals, pp. 245-256 and Singer, P., Semi. International, p. 88, October 1995.


Patents that teach methods for cleaning prime wafers with low pH solutions include U.S. Pat. Nos. 5,560,857 and 5,645,737; 5,181,985; 5,603,849; 5,705,089.


Using fluoride chemistries (usually HF) as a final RCA cleaning step will cause the silicon wafer surface to be in a hydrophobic state (the surface is covered with Si-H groups) which will repel water. During this step a certain proportion of the wafer surface is dissolved (removed). Unless the conditions are carefully monitored (time, temperature, solution composition) the substrates can be damaged, as reported by Rafols, C. et al., J. Electroanalytic Chem. 433. pp. 77-83, 1997. Numerous compositions combine water and organic solvents. The water concentration in these solutions is very critical. Silica oxide has an etch rate of 21 Å/min (@ 25° C.) in HF/water, but in isobutanol the rate was reduced to 2.14 Å/min and even lower in acetone (an aprotic solvent) the rate was only 0.12 Å/min, as reported at NSF/SRC Eng. Res. Center, Environmentally Benign Semiconductor Manufacturing, Aug. 5-7, 1998, Stanford University.


After the Front End of Line (FEOL) cleaning process the wafer proceeds to the typical Back End of Line (BEOL) manufacturing process for semiconductor devices, in which the devices might be dynamic random access memories (DRAMs), static random access memories (SRAMs), logic, electrically programmable read only memories (EPROMs), complementary metal on silicon (CMOS), and the like. Etching fabrication technology using chemical reactions (liquid or plasma) has been used as a method of forming a wiring structure on such semiconductor substrates.


A photoresist film is deposited on the wafer to form a mask, then a substrate design is imaged on the film layer, baked, and the undeveloped image is removed with a developer. The remaining image is then transferred to the underlying material through etching (either a dielectric or metal) with reactive etching gases promoted with plasma energy.


The etchant gases selectively attack the unprotected area of the substrate. Liquid or wet etching chemistries have been used extensively over the years to etch metals, oxides and dielectrics. These chemistries can be very aggressive and can result in isotropic etching (etching equally in all directions).


Increasingly, plasma etching, reactive ion etching or ion milling are used, and such etching processes produce undesirable by-products from the interaction of the plasma gases, reacted species and the photoresist. The composition of such by-products is generally made up of the etched substrates, underlying substrate, photoresist and etching gases. The formation of such by-products is influenced by the type of etching equipment, process conditions and substrates utilized. These by-products are generally referred to as “sidewall polymer,” “veil” or “fences” and cannot be removed completely by either oxygen plasma or conventional solvents. Examples of alkaline/solvent mixture types of photoresist strippers which are known for use in stripping applications include dimethylacetamide or dimethylformamide and alkanolamines as described in U.S. Pat. Nos. 4,770,713 and 4,403,029; 2-pyrrolidone, dialkylsulfone and alkanolamines as described in U.S. Pat. Nos. 4,428,871, 4,401,747, and 4,395,479; and 2-pyrrolidone and tetramethylammonium hydroxide as described in U.S. Pat. No. 4,744,834. Such stripping compositions, however, have only proven successful in cleaning “sidewall polymer” from the contact openings and metal line etching in simple microcircuit manufacturing involving a single layer of metal process when the metal structure involves mainly Al—Si or Al—Si—Cu and the “sidewall polymer” residue contains only an organometallic compound with aluminum.


If etching residue is not removed from the substrate, the residue can interfere with subsequent processes involving the substrate. The need to effectively remove etching residue and photoresist from a substrate becomes more critical as the industry progresses into submicron processing techniques. The requirement for cleaning solutions that remove all types of residue generated as a result of plasma etching of various types of metals, such as aluminum, aluminum/silicon/copper, titanium, titanium nitride, titanium/tungsten, tungsten, silicon oxide, polysilicon crystal, etc., while not corroding the underlying metal presents a need for more effective chemistry in the processing area. The effect of poor cleaning results in low device yield, low device reliability, and low device performance.


Also, if the components in these residues are not removed or neutralized in some manner then the residues will absorb moisture and form acidic species that can corrode the metal structures. The resultant acid corrodes wiring materials to bring about an adverse effect such as an increase in electrical resistance and wire disconnection. Such problems frequently occur, in particular in aluminum and aluminum alloys generally used as wiring material. The wafer substrate in contact with acidic materials, if not controlled, can destroy the metal structures. Following completion of the etching operation it is necessary that the post-etch resist mask be removed from the protective surface to permit finishing operations.


It is desirable to develop an improved cleaning composition to remove the organic polymeric substance from a coated inorganic substrate without corroding, dissolving or dulling the metal circuitry or chemically altering the wafer substrate.


Sidewall residues have been removed with either acidic organic solvents or alkaline organic solvents. The acidic solvents are generally composed of phenolic compounds or chloro-solvent and/or an aromatic hydrocarbon and/or alkylbenzenesulfonic acids. These formulations generally need to be used at temperatures up to and beyond 100° C. These chemistries normally need to be rinsed with isopropanol.


In addition, stripping compositions used for removing photoresist coatings and cleaning compositions for removing post-etch residue have for the most part been highly flammable, generally hazardous to both humans and the environment, and comprise reactive solvent mixtures exhibiting an undesirable degree of toxicity. Moreover, these compositions are not only toxic, but their disposal is costly since they might have to be disposed of as a hazardous waste. In addition, these compositions generally have severely limited bath life and, for the most part, are not recyclable or reusable.


The photoresist around the contact hole of common interlayer dielectrics, TEOS (tetraethylorthosilicate) and boron phosphosilicate glass (BPSG), which are commonly used in ultra large scale integration (ULSI) structures for better conformity of step coverage, is usually removed with HF solutions. It is not uncommon for the HF to also attack the dielectric material. Such attack is not desirable (see Lee, C. and Lee, S. C., Solid State Electronics, 41, pp. 921-923 (1997)). Accordingly, a need exists for a more environmentally friendly stripping and cleaning formulation.


Dilute hydrofluoric acid solutions can under certain conditions remove the sidewall polymers by aggressively attacking the via sidewall of the dielectric and therefore changing the dimensions of the device, as taught by Ireland, P., Thin Solid Films, 304, pp. 1-12 (1997), and possibly the dielectric constant. Previous chemistries that contain HF, nitric acid, water and hydroxylamine are aggressive enough to etch silicon, as taught by U.S. Pat. No. 3,592,773 issued to A. Muller. Recent information also indicates that the dilute HF solutions can be ineffective for cleaning the newer CFx etch residues, as taught by K. Ueno et al., “Cleaning of CHF3 Plasma-Etched SiO2/SiN/Cu Via Structures with Dilute Hydrofluoric Acid Solutions,” J. Electrochem. Soc., vol. 144, (7) 1997. Contact holes opened on to the TiSi2 have also been difficult to clean with HF solutions since there appears to be an attack of the underlying TiSi2 layer. There may also be difficulty with mass transport of the chemicals in the narrow hydrophilic contact holes, as taught by Baklanov, M. R. et al., Proc. Electrochem. Soc., 1998, 97-35, pp. 602-609.


Recently, fluoride-based chemistries have been used in limited cases to remove post etch residues. Many of these compositions contain fluoride components, specifically hydrogen fluoride. In addition, these compositions might contain strong caustic chemicals (choline-derivatives, tetraalkyl ammonium hydroxide, ammonium hydroxide) such as disclosed in U.S. Pat. No. 5,129,955; U.S. Pat. No. 5,563,119; or U.S. Pat. No. 5,571,447, or might use a two-phase solvent system, which contains one phase with hydrofluoric acid and water while a second phase contains a nonpolar organic solvent (ketones, ethers, alkanes or alkenes) (U.S. Pat. No. 5,603,849). Other formulations include hydroxylamine and ammonium fluoride (U.S. Pat. No. 5,709,756, issued to Ward). Additional examples include quaternary ammonium salt and fluoride based compositions, as disclosed in published European Application 0662705, and organocarboxylic ammonium salt or amine carboxylate and fluoride based compositions, as disclosed in U.S. Pat. No. 5,630,904.


Other methods for cleaning metal and metal oxide residues on wafers include spraying water vapor into the plasma ashing chamber followed by introducing fluorine containing gases (hydrofluoric acid) (U.S. Pat. No. 5,181,985) or a liquid containing hydrofluoric acid, ammonium fluoride and water with a pH between 1.5 to less than 7.


Some chemistries have also included chelating agents to help remove ionic and anionic contamination from the wafer surface (PCT US98/02794) but chelating agents such as citric acid, gallic acid, and catechol among others, can be aggressive toward the aluminum oxide that covers the Al metal lines. Studies by Ohman and Sjoberg show that the strong complexing ability of citric ions can increase the aluminum oxide solubility and thereby expose the metal to further corrosion, by factors of 166 and 468 at pH 5 and 6 (see Ohman et al., J. Chem. Soc., Dalton Trans. (1983), p. 2513).


Other resist-remover chemistries, such as those in U.S. Pat. No. 5,792,274, have included a salt of hydrogen fluoride combined with a water-soluble organic solvent and water at a pH of 5 to 8. However, no mention is made of the use of ammonium hydrogen fluoride (also known as ammonium bifluoride), which provides greater stability than ammonium fluoride, or the use of a synergistic mixture of co-solvents or basic amine compounds with DMSO and a fluorinated compound.


U.S. Pat. No. 6,048,406 issued Apr. 11, 2000 to Misra et al. entitled “Benign Method for Etching Silicon Dioxide” teaches using an aqueous solution of ammonium hydrogen fluoride ((NH4)HF2) as an alternative to hydrofluoric acid because it is more benign for wet etching silicon oxide. However, there is no teaching of the use of a formulation that can remove photoresist or an etching residue. Also, there is no teaching of adding a synergistic mixture of co-solvents or basic amine compounds.


U.S. Pat. No. 5,885,477 issued Mar. 23, 1999 to Rasmussen et al. entitled “Silicon Dioxide Etch Process Which Protects Metal” teaches using an aqueous solution of ammonium fluoride and hydrofluoric acid with a salt to etch silicon oxide while minimizing corrosion. However, there is no teaching of the use of ammonium hydrogen fluoride, co-solvents or basic amine compounds.


U.S. Pat. No. 4,508,591 issued Apr. 2, 1985 to Bartlett et al. entitled “Polymethyl Methacrylate Compatible Silicon Dioxide Complexing Agent” teaches using ammonium fluoride and citric acid to etch silicon dioxide. However, as with Rasmussen et al., there is no teaching of the use of ammonium hydrogen fluoride, co-solvents or basic amine compounds. Nor is there any teaching to use such a formulation for removing etch residue or photoresist.


Accordingly, there exists a need to develop improved silicon dioxide etchant and photoresist and post-etch residue remover for a variety of unwanted materials from a wide variety of substrates. Particularly in the field of integrated circuit fabrication, it should be recognized that the demands for improved removal performance with avoidance of attack on the substrates are constantly increasing. This means that compositions that were suitable for less sophisticated integrated circuit substrates may not be able to produce satisfactory results with substrates containing more advanced integrated circuits in the process of fabrication. These compositions should also be economical, environmental friendly and easy to use.


The discussion of the background to the invention herein is included to explain the context of the invention. This is not to be taken as an admission that any of the material referred to was published, known, or part of the common general knowledge as at the priority date of any of the claims.


Throughout the description and claims of the specification the word “comprise” and variations thereof, such as “comprising” and “comprises”, is not intended to exclude other additives, components, integers or steps.


SUMMARY OF THE INVENTION

Accordingly, the present invention teaches a new and improved stripping and cleaning composition and a process for its use. The present invention also includes silicon oxide etching compositions and their use. This composition is aqueous, dissolves both organic and inorganic substances, and, when used in the process, is able to strip and clean a variety of substrates.


The novel stripping, cleaning and etching compositions of the invention exhibit synergistically enhanced capabilities that are not possible from the use of the individual components, or the components in combination with other components.


It is one objective of the invention to provide etch residue removing compositions that: effectively clean post-etch residues from substrates, inhibit redeposition of metal ions, and are corrosion resistant.


It is a further objective of the invention to provide effective photoresist stripping compositions.


Another objective is to provide effective silicon oxide etching compositions.


These and related objectives are attained through the use of the composition and process disclosed herein.


A composition in accordance with this invention is for photoresist stripping and comprises from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, an effective amount of up to about 95 percent by weight of one or more solvents that are sulfoxides or sulfones, and at least about 20 percent by weight water. Additionally, the composition may optionally contain basic amines, co-solvents, corrosion inhibitors, chelating agents, surfactants, acids and bases. A preferred embodiment contains ammonium hydrogen fluoride, DMSO, and water.


A composition in accordance with this invention is for post-etch residue cleaning and comprises from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, an effective amount of from about 10 to about 95 percent by weight of one or more certain solvents, and at least about 20 percent by weight water. A preferred embodiment consists of ammonium hydrogen fluoride, DMSO, and water.


Additionally, the composition may optionally contain basic amines, co-solvents, corrosion inhibitors, chelating agents, surfactants, acids and bases.


Another preferred composition for cleaning and stripping consists of ammonium hydrogen fluoride, DMSO, water, and a co-solvent selected from the group consisting of acetic acid, methyl acetate, methyl lactate, ethyl acetate, ethylene glycol diacetate, ethyl lactate, propylene glycol, propylene carbonate, N-methyl pyrrolidone, glycol ethers such as methoxyethoxyethanol, butoxyethoxyethanol, and the like, and polyethylene glycol monolaurate.


Another preferred composition for cleaning and stripping consists of ammonium hydrogen fluoride, DMSO, water, and a basic amine selected from the group consisting of hydroxylamine, hydrazine, 2-amino-2-ethoxy ethanol, monoethanolamine, diethylhydroxylamine, choline, tetramethylammonium formate, monoisopropanolamine, diethanolamine, and triethanolamine.


If said composition in accordance with this invention is used for metal and oxide etching, the content of the fluoride compound is elevated. For example, for use as a silicon etchant, it is preferred to have about 20% of a 40% aqueous ammonium fluoride solution.


A process for photoresist stripping in accordance with this invention comprises contacting the substrate with a composition comprising one or more fluoride compounds, water and sulfoxide solvent at a temperature and for a time sufficient to strip the photoresist.


A process for cleaning residue from a substrate in accordance with this invention comprises contacting the substrate with a composition comprising one or more fluoride compounds, water and sulfoxide solvent at a temperature and for a time sufficient to clean the substrate.


A process for metal or oxide etch in accordance with this invention comprises contacting the metal or oxide with a composition comprising one or more fluoride compounds, water and sulfoxide or sulfone solvent at a temperature and for a time sufficient to etch the metal or oxide.




BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is an SEM of a via effectively cleaned using a formulation containing a co-solvent.



FIG. 2 shows the effect of choline on the etch rate of fluoride containing compounds.



FIG. 3 shows the inverse relationship of corrosion rate v. the etch rate of HF2.



FIGS. 4A, 4B and 4C show the results of cleaning with hydrofluoric acid, ammonium hydrogen fluoride and ammonium fluoride respectively.



FIGS. 5A, 5B and 5C show the cleaning results when using different additional compounds, propylene glycol, acetyl acetone and 2-amino-2-ethoxy ethanol, respectively.



FIGS. 6A and 6B show results of cleaning vias using ester co-solvents.



FIG. 7 shows the results with a 1:1.5:1 ratio of dimethylsulfoxide/ethyl laurate/water.




DETAILED DESCRIPTION

This invention relates to semi-aqueous stripping and cleaning compositions that are particularly useful for stripping photoresists and cleaning organic and inorganic compounds, including post etch and post ash residues, from a semiconductor substrate. The invention is also useful as a silicon oxide etchant. As used herein, the term “semi aqueous” refers to a mixture of water and organic solvent. The invention also includes methods of using this composition to strip photoresists, clean organic and inorganic compounds from semiconductor substrates, and etch silicon oxide. More particularly, the invention describes semi aqueous stripping, cleaning and etching compositions and processes for the use thereof. The solutions contain fluoride compounds, sulfoxide or sulfone solvents, water and may contain other solvents, corrosion inhibitors, chelating agents, basic amine compounds, surfactants, acids and bases.


The manufacture of electronic devices typically involves the use of photoresist removers, etch residue removers and silicon oxide etchants at particular times in the manufacturing process. Fluoride containing formulations have been used to some extent for these purposes. However, some formulations with fluoride containing compounds such as ammonium fluoride have given inconsistent silicon oxide etch rates due to the evaporation of ammonia from the liquid phase. When the ammonia evolves from the solution, the concentration of the active species of HF2 and HF increases and more aggressively attacks the silicon dioxide. In addition, it has been found that the use of aqueous formulations of fluoride compounds, such as ammonium fluoride and hydrofluoric acid, and solvents, such as dimethylsulfoxide, fail to provide adequate resist and residue removal without significant corrosion of the underlying metal unless additional compounds are added to the formulation.


The present invention discloses novel formulations which provide consistent silicon oxide etch rates and effectively remove photoresist and etch residues while inhibiting the corrosion of the underlying metal. In one embodiment, the present invention applies the use of aqueous fluoride formulations that use ammonium hydrogen fluoride, which is a more stable fluoride compound and does not result in the same problems experienced by ammonium fluoride and hydrogen fluoride regarding either inconsistent silicon oxide rates or excessive corrosion.


In another embodiment, the invention applies the use of aqueous fluoride formulations with sulfoxide and sulfone solvents and co-solvents including esters such as methyl acetate, ethyl acetate, butyl acetate, methyl lactate, and ethyl lactate, glycols such as propylene glycol, ethylene glycol diacetate, polyethylene clycol monolaurate, lactams such as N-methyl pyrrolidone and the like, and other suitable solvents such as propylene carbonate, glycol ethers such as 2-(2-methoxyethoxy)ethanol, and 2-(2-butoxyethoxy)ethanol (also known as diethylene glycol monobutyl ether), and the like, which aid in the cleaning effectiveness of the fluoride formulation.


It is to be understood that the glycol ether co-solvents for use with the present invention are preferably lower alkyl glycol ethers such as diethylene glycol ethers, and the alkoxy substituent groups are also preferably lower alkyl groups with from 1 to 5 carbon atoms. Such glycol ether co-solvents are expressed by the following formula:
embedded image

In this formula, R is a lower alkyl, with preferably 1 to 5 carbon atoms and may be straight chain or branched. Even more preferably, R is a butyl group. In other embodiments, R may have from 6 to 10 carbon atoms and may be straight chain or branched. The integers m and n are also preferably in the range 1 to 5, but may also lie in the range 1 to 10. Even more preferably, m=2 and n=2. Although represented as chains, the (CH2)m and (CH2)n groups may also admit to branching.


In another embodiment, the invention applies the use of aqueous fluoride formulations with sulfoxide and sulfone solvents and basic amine compounds such as 2-amino-2-ethoxy ethanol, monoethanolamine, monoisopropanolamine and the like which assist in cleaning effectiveness and inhibit corrosion.


These embodiments can be used separately or combined.


The first component of the compositions of the present invention is a fluoride containing compound which may be used alone or in combination with at least one other fluoride containing compound. The fluoride containing compound or mixture of compounds is suitably selected according to the situation and is in the range of about 0.01 percent by weight to about 10 percent by weight.


Suitable fluoride compounds are ammonium fluoride, ammonium hydrogen fluoride and hydrogen fluoride. The preferred fluoride compounds are ammonium fluoride and ammonium hydrogen fluoride. The most preferred fluoride compound is ammonium hydrogen fluoride. For removing residues and photoresists, the fluoride compounds are desirably present in an amount of from about 0.01 percent by weight to about 10 weight percent. In general, the lower the concentration of the fluoride compound in the composition, the higher the temperature of use needs to be.


The silicon oxide etch compositions of the present invention also contain one or more fluoride compounds but the compounds are preferably present in an amount from about 7 weight percent to about 10 weight percent.


The second component include sulfoxide solvents and sulfone solvents or mixtures thereof which correspond to the following:
embedded image

where R1 and R2 are an H, OH or alkyl group, and at least one is an alkyl group, and
embedded image

where R3-R10 are independently H or an alkyl group.


Suitable sulfoxide solvents include the following and mixtures thereof: dimethyl sulfoxide (DMSO), dipropylsulfoxide, diethylsulfoxide, methylethylsulfoxide, diphenylsulfoxide, methylphenylsulfoxide, 1,1′-dihydroxyphenyl sulfoxide and the like.


Suitable sulfone solvents include the following and mixtures thereof dimethylsulfone, diethylsulfone, and the like. The sulfoxide or sulfone solvents or mixtures thereof are suitably selected according to the situation and are present in the range of about 10 percent by weight to about 95 percent by weight.


A preferred embodiment has a solvent to water ratio of about 60:40 although higher or lower ratios may be suitable depending on the particular application. At a ratio of about 60:40 solvent to water no over etching was observed and all residues were removed. Significantly higher solvent to water ratios may lead to over etch of the via sidewall.


As stated earlier, aqueous formulations using a fluoride containing compound that is not ammonium hydrogen fluoride with a sulfoxide solvent will not provide adequate cleaning without resulting in significant corrosion. Thus, where ammonium hydrogen fluoride is not used, one or more co-solvents or basic amine compounds are added to optimize the effectiveness of the formulation while minimizing corrosion. Suitable co-solvents include the following and mixtures thereof: N-alkyl lactams, such as N-methyl-2-pyrrolidone, ester compounds such as acetic acid, methyl acetate, methyl lactate, ethyl acetate, ethyl lactate, glycols such as propylene glycol, ethylene glycol diacetate, polyethylene glycol monolaurate and other suitable co-solvents such as propylene carbonate, methoxyethoxyethanol, butoxyethoxyethanol, and the like.


Suitable basic amine compounds include the following and mixtures thereof: hydroxylamine, hydrazine, 2-amino-2-ethoxy ethanol (DGA), monoethanolamine (MEA), diethylhydroxylamine, cholines, tetramethylammonium formate (TMAF), monoisopropanolamine (MIPA), diethanolamine (DEA), triethanolamine (TEA) and the like.


It has been found that the use of ammonium hydrogen fluoride, or ammonium bifluoride, provides for improved stability over other fluoride compounds and provides a significantly higher etch rate because it generates HF2 directly. Interestingly, HF2 is also the species least corrosive to metals. Thus, it has been found that the use of ammonium hydrogen fluoride will minimize the corrosion of metals as compared to other fluoride compounds.


In addition to the components listed above, the composition contains water. Typically high-purity deionized water is used.


The composition may optionally contain other solvents that would be known to one skilled in the art, including amides and polyols.


The composition may optionally contain corrosion inhibitors. Suitable corrosion inhibitors include inorganic nitrate salts such as ammonium, potassium, sodium and rubidium nitrate salts, aluminum nitrate and zinc nitrate.


The composition may optionally contain chelating agents. Suitable chelating agents are described in commonly assigned U.S. Pat. No. 5,672,577, issued Sep. 30, 1997 to Lee, which is incorporated herein by reference. The addition of a chelator further improves the effectiveness of the formulation used as a etch residue or photoresist remover.


The composition may optionally contain surfactants. Suitable surfactants include poly(vinyl alcohol), poly(ethyleneimine) and any of the surfactant compositions classified as anionic, cationic, nonionic, amphoteric, and silicone based. Preferred surfactants are poly(vinyl alcohol) and poly(ethyleneimine).


Some combinations of components require the addition of acids and/or bases to adjust the pH to an acceptable value. The acids suitable for use in the present invention are organic or inorganic. The acids can include nitric, sulfuric, phosphoric, hydrochloric acids (though hydrochloric acid can be corrosive to metals) and the organic acids, formic, acetic, propionic, n-butyric, isobutyric, benzoic, ascorbic, gluconic, malic, malonic, oxalic, succinic, tartaric, citric, and gallic.


The caustic components suitable for use to adjust the pH of the cleaning solution can be composed of any common base, i.e. sodium, potassium, magnesium hydroxides, or the like. The major problem is that these bases introduce mobile ions into the final formulation. Mobile ions could destroy computer chips being produced today in the semiconductor industry. Other bases can be used that include ammonium hydroxide or derivatives thereof including trimethyl-2-hydroxyethyl ammonium hydroxide (choline), and the like.


The method of cleaning a substrate using the cleaning compositions of the present invention involves contacting a substrate having residue thereon, particularly organometallic or metal oxide residue, with a cleaning composition of the present invention for a time and at a temperature sufficient to remove the residue. Stirring, agitation, circulation, sonication or other techniques as are known in the art optionally may be used. The substrate is generally immersed in the cleaning composition. The time and temperature are determined based on the particular material being removed from a substrate. Generally, the temperature is in the range of from about ambient or room temperature to about 100° C., preferably 55° C., and the contact time is from about 1 to 60 minutes, preferably 5-30 minutes. Generally the substrate will be rinsed after using the composition. Preferred rinse solutions are isopropanol and DI water.


The compositions of the invention are particularly useful for removing residue from metal and via features but are also useful for stripping photoresists. The application of the present compositions as a photoresist stripper are easily determined by one of skill in the art. Similarly, the compositions of the present invention are also useful for metal or oxide etch. Such an application and the conditions therefor is also easily determined by one of skill in the art.


In addition to the benefits of using ammonium hydrogen fluoride, as described above, it has been found that the addition of a basic amine compound, such as 2-amino-2-ethoxy ethanol and the like, to a aqueous formulation containing a fluoride compound and an organic sulfoxide and/or sulfone solvent effectively neutralizes the HF by forming a quaternary ammonium fluoride salt, for example, according to the following reactions:

RNH2+H2O−>RNH3++OH
HF+OH−>F+H2O
RNH2+HF−>RNH3+F


Since the F ions do not attack silicon dioxide, the formulation is less aggressive and reduces unwanted etching.


It has also been found that by adding a co-solvent, such as ethyl lactate and the like, to a aqueous formulation containing a fluoride compound and an organic sulfoxide and/or sulfone solvent improves the capability of the formulation to remove etch residues and photoresists. The effect of ethyl lactate on removing etch residues is due to a modification of the hydrophobicity/hydrophilicity balance, wetting ability and/or the change of polarity of the blend. The addition of a co-solvent such as ethyl lactate and the like provides for active chemical attack by fluoride species, solvation and dissolution.


The foregoing description is intended to illustrate various aspects of the present invention. It is not intended that the examples presented herein limit the scope of the present invention. The invention now being fully described, it will be apparent to one of ordinary skill in the art that many changes and modifications can be made thereto without departing from the spirit or scope of the appended claims.


EXAMPLES
Example 1

A group of cleaning chemistries containing the ingredients of ammonium fluoride, water, and a sulfoxide or sulfone solvent were tested with metal wafers which have stacks of TiN/AlCu/TiN/Ti/Oxide etched with a chlorinated plasma in a commercially available etcher. The resulting metal wafers with residues were cut into small sample pieces, and then the sample pieces were immersed into the chemistry solutions in Table 1 for cleaning for 5 minutes at room temperature. The sample pieces were taken out, rinsed with deionized water and dried with the flow of N2. SEM was performed with a Hitachi 4500 FE-SEM for evaluating cleaning and corrosion effects. Residue removal and corrosion effects on metal stack were assessed by visual comparisons and were all ranked on a scale of 1 to 10. The formulations in table 1 are shown in weight percent.

TABLE 1For-40%DITimeResultsmulaNH4FwaterDMSOACNHDA(Min.)CleanCorrosionA*0.7520750051010B13506405108C13500645810
*Formula A also contained 4.25% DMP


In Table 1, the reaction temperature was Room Temperature. DI water is Deionized water; DMSO is Dimethylsulfoxide; ACN is Acetonitrile; and HAD is Hydroxylamine. Clean lines are rated on a scale where 1 is poor, and 10 is complete. Corrosion is rated on a scale where 1 is a severe attack, and 10 represents no corrosion. The results showed that the DMSO containing formulation gave the combination of the best cleaning performance with the smallest extent of corrosion, in the form of a slight attack on aluminum. On this basis, DMSO was chosen for further study to provide an enhanced formulation.


Example 2

A blend of 42.6 percent by weight ethyl lactate, 28.4 percent by weight DMSO, 28.4 percent by weight water and 0.4 percent by weight ammonium hydrogen fluoride was prepared to clean etch residue from a substrate. FIG. 1 shows the effective removal of the etch residue.


Example 3

Ammonium hydrogen fluoride (ammonium bifluoride) was substituted in place of ammonium fluoride and hydrofluoric acid. As can be seen in FIGS. 4A-4C, the best results were using ammonium hydrogen fluoride which effectively removed etch residue without over etching the via being cleaned.


Example 4

A investigation of the intrinsic cleaning performance by the different functional groups was undertaken. Propylene glycol was used as a hydroxyl source, acetyl acetone as carbonyl source, and DGA as nitrogen source. The SEM shown as FIGS. 5A-5C indicate that hydroxyl groups might help the removal of the bottom residues while carbonyl might aid the cleaning of the residue on the side-wall of the trench.


Mixing these components, it was found that the two beneficial effects (alcohol and ketone) on the cleaning were conserved within the mixture. This new finding led to the investigation of the residue and photoresist removal ability of ester groups, which constitute the intermediary functional group in term of polarizability between carboxylic acid/glycol and ketone. The first ester compound tested was methyl acetate and gave beneficial results. The benefits of using an ester group were further confirmed by testing ethylene glycol diacetate and ethyl lactate as is shown in FIGS. 6A and 6B. Due to its higher solubility in an aqueous solution, ethyl lactate is the preferred ester and the preferred co-solvent.


Different solutions were made up with different DMSO/ESTER/DIW ratios and it was found that the preferred ratio is about 1/1.5/1, in the case of ethyl lactate, as can be seen by the results of SEM FIG. 7.


Based on the results of Example 1, formulations with a variety of concentrations of DMSO were tested to determine the effective ranges in combination with ammonium fluoride in the presence of water. The results showed that for cleaning etch resist, DMSO is effective at a concentrations from about 10 percent by weight to about 95% by weight and the effectiveness of the formulation was enhanced by the addition of a basic organic amine or a co-solvent. The following table demonstrates formulations found to be more effective and versatile than formulations with DMSO alone.

TABLE 2BasicOrganicChelatingPotential field ofFormulaDMSOCo-SolventWaterFluorideAmineAgentsapplication165.530.6AF = 1.4DGA = 2.5Stable etchant;residue remover,photoresist remover26929.5AHF = 1.5Stable etchant;residue remover,photoresist remover36927.4AHF = 1.5Choline (45%Stable etchant;in water) = 2.1residue remover,photoresist remover46926AHF = 1.5Choline (45%Stable etchant;in water) = 3.5residue remover,photoresist remover56924.6AHF = 1.5Choline (45%Stable etchant;in water) = 4.9residue remover,photoresist remover66921.8AHF = 1.5Choline (45%Stable etchant;in water) = 7.7residue remover,photoresist remover76923.120% aqMEA = 0.5Stable etchant;AHF = 7.4residue remover,photoresist remover86922.820% aqMEA = 0.8Stable etchant;AHF = 7.4residue remover,photoresist remover96922.520% aqMEA = 1.1Stable etchant;AHF = 7.4residue remover,photoresist remover10692220% aqMEA = 1.60Stable etchant;AHF = 7.4residue remover,photoresist remover116930HF = 0.5MEA = 0.5Stable etchant;residue remover,photoresist remover126929.7HF = 0.5MEA = 0.8Stable etchant;residue remover,photoresist remover136929.4HF = 0.5MEA = 1.1Stable etchant;residue remover,photoresist remover146928.7HF = 0.5MEA = 1.8Stable etchant;residue remover,photoresist remover156929.7HF = 0.5DGA = 0.84Stable etchant;residue remover,photoresist remover166929.1HF = 0.5DGA = 1.36Stable etchant;residue remover,photoresist remover176928.3HF = 0.5DGA = 2.20Stable etchant;residue remover,photoresist remover186927.4HF = 0.5DGA = 3.15Stable etchant;residue remover,photoresist remover196929.3AF = 0.6; AHStable etchant;F = 1.1residue remover,photoresist remover206929.1AF = 1.2;Stable etchant;AHF = 0.75residue remover,photoresist remover216928.8AF = 1.8;Stable etchant;AHF = 0.37residue remover,photoresist remover226925.1AHFCholine (45%Stable etchant;(20% inin water) = 0residue remover,water) = 5.9photoresist remover236923AHFCholine (45%Stable etchant;(20% inin water) = 2.1residue remover,water) = 5.9photoresist remover246921.6AHFCholine (45%Stable etchant;(20% inin water) = 3.5residue remover,water) = 5.9photoresist remover256920.2AHFCholine (45%Stable etchant;(20% inin water) = 4.9residue remover,water) = 5.9photoresist remover266917.4AHFCholine (45%Stable etchant;(20% inin water) = 7.7residue remover,water) = 5.9photoresist remover276925.5AHFStable etchant;(20% inresidue remover,water) = 5.5photoresist remover286927.3AHFStable etchant;(20% inresidue remover,water) = 3.7photoresist remover296927.7AHFStable etchant;(20% inresidue remover,water) = 2.3photoresist remover301082.6AHFStable etchant;(20% inresidue removerwater) = 7.4313062.6AHFStable etchant;(20% inresidue removerwater) = 7.4325042.6AHFStable etchant;(20% inresidue remover,water) = 7.4photoresist remover337022.6AHFStable etchant;(20% inresidue remover,water) = 7.4photoresist remover34902.6AHFStable etchant;(20% inresidue remover,water) = 7.4photoresist remover356928.5HF(40% aq) = 0.65Choline = 1.9Stable etchant;residue remover,photoresist remover366927.7HF(40% aq) = 0.65Choline = 2.7Stable etchant;residue remover,photoresist remover376926.9HF(40% aq) = 0.65Choline = 3.5Stable etchant;residue remover,photoresist remover386929.6HF(40% aq) = 0.65DGA = 0.74Stable etchant;residue remover,photoresist remover396929.4HF(40% aq) = 0.65DGA = 1.0Stable etchant;residue remover,photoresist remover406929HF(40% aq) = 0.65DGA = 1.4Stable etchant;residue remover,photoresist remover416925.3AHF (20%Stable etchant;aq) = 5.7residue remover,photoresist remover426928.2AHF (20%Stable etchant;aq) = 2.85residue remover,photoresist remover436930.4AHF (20%Stable etchant;aq) = 0.57residue remover,photoresist remover448512.2AHF (20%Stable etchant;aq) = 2.85residue remover,photoresist remover4550AHF = 3.705DGA = 50Stable etchant;residue remover,photoresist remover4650AHF = 1.8525DGA = 50Stable etchant;residue remover,photoresist remover476929.5AHF (20%MEA = 0.15Stable etchant;aq) = 1.4residue remover,photoresist remover486927.9AHF (20%MEA = 0.30Stable etchant;aq) = 2.80residue remover,photoresist remover496929.4AHF (20%MEA = 0.21Stable etchant;aq) = 1.4residue remover,photoresist remover506927.8AHF (20%MEA = 0.42Stable etchant;aq) = 2.80residue remover,photoresist remover516929.3AHF (20%MEA = 0.30Stable etchant;aq) = 1.4residue remover,photoresist remover526927.6AHF (20%MEA = 0.60Stable etchant;aq) = 2.80residue remover,photoresist remover5367.328.7AF = 1.3DGA = 0.1Gallic Acid = 1.6Stable etchant;residue remover,photoresist remover5468.3Acetic Acid = 0.929.5AF = 1.3Stable etchant;residue remover,photoresist remover5568Acetic Acid = 0.629.2AF = 2.2Stable etchant;residue remover,photoresist remover5667.9Acetic Acid = .629.1AF = 2.2DGA = 0.1Stable etchant;residue remover,photoresist remover5749.1NMP = 19.629.6AHF = 1.3MEA = 0.3Stable etchant;residue remover5819.6NMP = 49.129.5AHF = 1.3MEA = 0.3Stable etchant;residue remover5958.3Ethyl25AHF = 0.4Stable etchant;Acetate = 16.6residue remover,photoresist remover6053.8Ethyl23AHF = 0.4Stable etchant;Acetate = 15.3;residue remover,PG = 7.7photoresist remover6149.7Ethyl21AHF = 0.4Stable etchant;Acetate = 28.4residue remover6246.6Ethyl20AHF = 0.4Stable etchant;Acetate = 26.6residue removerPG = 6.66344.9Ethyl19.3AHF = 0.4Stable etchant;Acetate = 25.8%;residue removerPG = 6.4; AcetylAcetone = 36445.5Ethyl19.5AHF = 0.4Stable etchant;Acetate = 26residue removerPG = 8.66528.4Methyl-s-28.4AHF = 0.4Stable etchant;lactate = 42.6residue remover6628.4Ethyl-s-28.4AHF = 0.4Stable etchant;lactate = 42.6residue remover6724.9Ethylene glycol37.3AHF = 0.4Stable etchant;diacetate = 37.3residue remover6824.9Poly ethylene37.3AHF = 0.4Stable etchant;glycol mono-residue removerlaurate = 37.36933.1Ethylene glycol16.5AHF = 0.4Stable etchant;diacetate = 49.7residue remover7028.4Ethylene glycol28.4AHF = 0.4Stable etchant;diacetate = 42.6residue remover7124.9Ethylene glycol37.3AHF = 0.4Stable etchant;diacetate = 37.30residue remover7216.5Ethylene glycol16.5AHF = 0.4Stable etchant;diacetate = 56.8residue remover7314.2Ethylene glycol28.4AHF = 0.4Stable etchant;diacetate = 52residue remover7412.5Ethylene glycol37.4AHF = 0.4Stable etchant;diacetate = 49.7residue remover7533.1Ethyl-s-16.5AHF = 0.4Stable etchant;lactate = 49.7residue remover7628.4Ethyl-s-28.4AHF = 0.4Stable etchant;lactate = 42.6residue remover7724.9Ethyl-s-37.3AHF = 0.4Stable etchant;lactate = 37.3residue remover7816.5Ethyl-s-16.5AHF = 0.4Stable etchant;lactate = 56.8residue remover7914.2Ethyl-s-28.4AHF = 0.4Stable etchant;lactate = 52residue remover8012.5Ethyl-s-37.4AHF = 0.4Stable etchant;lactate = 49.7residue remover8118NMP = 4929.3AF (40%) = 0.75DGA = 3Residue remover8225NMP = 20;29.3AF (40%) = 0.75Residue removerPC = 258325PC = 4529.3AF (40%) = 0.75Residue remover8425NMP = 4529.3AF (40%) = 0.75Residue remover8540NMP = 3029.3AF(40%) = 0.75Residue remover8657.2529.3AF(40%) = 3.5DGA = 10Residue remover8762.2529.3AF(40%) = 3.5DGA = 5Residue remover8864.2529.3AF(40%) = 3.5DGA = 3Residue remover8964.7529.3AF(40%) = 3.5DGA = 2.5Residue remover9030NMP = 36.5;30HF(50%) = 0.1MIPA = 0.5Residue removerPG = 2.99130NMP = 34.5;30HF(50%) = 0.1DGA = 2.5Residue removerPG = 2.99230NMP = 36.9;30HF(50%) = 0.1MEA = 0.1Residue removerPG = 2.99330NMP = 55.5;10HF(50%) = 0.1MEA = 1DCH = 0.5Residue removerPG = 2.99432NMP = 52.5;10HF(50%) = 0.1MEA = 2DCH = 0.5Residue removerPG = 2.99533.5NMP = 30;30HF(50%) = 0.1DGA = 3.5Residue removerPG = 2.99634.5NMP = 55.5;5HF(50%) = 0.1DGA = 2Residue removerPG = 2.99735NMP = 30;30HF(50%) = 0.1DGA = 2Residue removerPG = 2.99835NMP = 50;10HF(50%) = 0.1DGA = 2Residue removerPG = 2.99935NMP = 56.9;5HF(50%) = 0.1MEA = 0.1Residue removerPG = 2.910035.5NMP = 30;30HF(50%) = 0.1MIPA = 1.5Residue removerPG = 2.910136NMP = 30;30HF(50%) = 0.1MIPA = 1Residue removerPG = 2.910236NMP = 30;30HF(50%) = 0.1MEA = 1Residue removerPG = 2.910336.5NMP = 30;30HF(50%) = 0.1MEA = 0.5Residue removerPG = 2.910436.9NMP = 30;30HF(50%) = 0.1MIPA = 0.1Residue removerPG = 2.910536.9NMP = 30;30HF(50%) = 0.1MEA = 0.1Residue removerPG = 2.910637NMP = 49.9;10HF(50%) = 0.1MEA = 0.1Residue removerPG = 2.910737NMP = 49.5;10HF(50%) = 0.1MEA = 0.5Residue removerPG = 2.910837NMP = 54.5;5HF(50%) = 0.1MEA = 0.5Residue removerPG = 2.910937NMP = 49;10HF(50%) = 0.1MEA = 0.5DCH = 0.5Residue removerPG = 2.911037NMP = 48;10HF(50%) = 0.1MEA = 1.5DCH = 0.5Residue removerPG = 2.911153.75PG = 1527.3AF(40%) = 2.5HDA ® = 1.5Residue remover1126828.5AF(40%) = 2.5DEHA = 1Residue remover11368.528.5AF(40%) = 2.5DEHA = 0.5Residue remover11468.928.5AF(40%) = 2.5DEHA = 0.1Residue remover11571.828HF(50%) = 0.1TBPH = 0.1Residue remover
where:

HF = hydrogen fluoride

DCH = dicarbethoxy hydrazine

AHF = ammonium hydrogen fluoride (ammonium bifluoride)

AF = ammonium fluoride

MEA = monoethanolamine

PG = propylene glycol

HDA ® = hydroxylamine

MIPA = monoisopropanolamine

TBPH = tetrabutyl phosphonium hydroxide

TMAF = tetramethylammonium formate

DGA = diglycolamine

NMP = N-methyl pyrrolidone

PC = propylene carbonate

DEHA = diethylhydroxylamine


A preferred embodiment comprises: 65.5% DMSO, 3.5% ammonium fluoride (40% aqueous), 28.5% DI water, and 2.5% DGA. (Performance: Clean=10, Corrosion=10).


Another preferred embodiment comprises: 25% DMSO, 0.75% ammonium fluoride (40% aqueous), 29.25% DI water, and 45% NMP. (Performance: Clean=10, Corrosion=10).


Another preferred embodiment comprises: 40% DMSO, 0.75% ammonium fluoride (40% aqueous), 29.25% DI water, and 30% NMP. (Performance: Clean=10, Corrosion=10).


Another preferred embodiment comprises: 18% DMSO, 0.75% ammonium fluoride (40% aqueous), 29.25% DI water, 49.5% NMP, and 3% DGA (Performance: Clean=9.8, Corrosion=10).


The embodiments herein are given by way of example and are not intended to limit the present invention. One skilled in the art will recognize from the foregoing examples that modifications and variations can, and are expected to be made, to the foregoing compositions in accordance with varying conditions inherent in the production process.


Additionally, with routine experimentation, one skilled in the art can easily determine effective amounts of each component of the present invention for the particular photoresist stripping, post-etch residue cleaning, or metal or oxide etch application.


All publications and patent applications mentioned in this specification are herein incorporated by reference to the same extent as if each individual publication or patent application was specifically and individually indicated to be incorporated by reference.


The invention now being fully described, it will be apparent to one of ordinary skill in the art that many changes and modifications can be made thereto without departing from the spirit or scope of the appended claims.

Claims
  • 1. A composition for stripping photoresist and cleaning etch residue from substrates comprising from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 15 percent by weight to about 50 percent by weight water, from about 10 percent by weight to about 95 percent by weight of a compound which is either an organic sulfoxide corresponding to the following:
  • 2. The composition of claim 1 wherein said fluoride compound is ammonium bifluoride and said organic sulfoxide is dimethylsulfoxide.
  • 3. The composition of claim 1 wherein said fluoride compound is ammonium fluoride and said organic sulfoxide is dimethylsulfoxide.
  • 4. The composition of claim 3 wherein said composition comprises about 3.5% by weight of a 40% aqueous solution of ammonium fluoride, about 65.5% by weight dimethylsulfoxide, about 28.5% water, and about 2.5% 2-amino 2-ethoxyethanol.
  • 5. The composition of claim 3 wherein said basic amine compound is selected from the group consisting of hydroxylamine, hydrazine, 2-amino-2-ethoxy ethanol, monoethanolamine, diethylhydroxylamine, choline, tetramethylammonium formate, monoisopropanolamine, diethanolamine, triethanolamine.
  • 6. The composition of claim 5 wherein said basic amine compound is choline.
  • 7. The composition of claim 1 wherein the ratio of total solvent/amine to water is from about 1.5:1 to about 2.5:1.
  • 8. The composition of claim 1 wherein said composition comprises about 3.5% by weight of ammonium fluoride, about 65.5% by weight dimethylsulfoxide, about 28.5% water, and about 2.5% 2-amino 2-ethoxyethanol.
  • 9. The composition of claim 1 further comprising a chelating agent selected from the group consisting of gallic acid, catechol tetrabutyl phosphonium hydroxide and dicarbethoxyhydrazine.
  • 10. The composition of claim 1 further comprising a co-solvent.
  • 11. The composition of claim 10 wherein the co-solvent is present in an amount from about 0.1 to about 60 percent by weight.
  • 12. The composition of claim 10 wherein the co-solvent is selected from the group consisting of: acetic acid, methyl acetate, methyl lactate, ethyl acetate, ethylene glycol diacetate, ethyl lactate, propylene glycol, propylene carbonate, N-methyl pyrrolidone, methoxyethoxyethanol, butoxyethoxyethanol, and polyethylene glycol monolaurate.
  • 13. A method of removing a photoresist coating from a substrate using the composition of any one of claims 1-12.
  • 14. A method of removing etch residue from a substrate using the composition of any one of claims 1-12.
  • 15. A composition for stripping photoresist and cleaning etch residue from substrates comprising from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 15 percent by weight to about 50 percent by weight water, from about 10 percent by weight to about 95 percent by weight of a compound which is either an organic sulfoxide corresponding to the following:
  • 16. The composition of claim 15 wherein the co-solvent is present in an amount from about 0.1 to about 60 percent by weight.
  • 17. The composition of claim 16 wherein the co-solvent is selected from acetic acid, methyl acetate, methyl lactate, ethyl acetate, ethylene glycol diacetate, ethyl lactate, propylene glycol, propylene carbonate, N-methyl pyrrolidone, methoxyethoxyethanol, butoxyethoxyethanol, and polyethylene glycol monolaurate.
  • 18. The composition of claim 17 wherein said fluoride compound is ammonium hydrogen fluoride and said organic sulfoxide is dimethylsulfoxide.
  • 19. The composition of claim 17 wherein said fluoride compound is ammonium fluoride and said organic sulfoxide is dimethylsulfoxide.
  • 20. The composition of claim 15 further comprising a chelating agent selected from the group consisting of gallic acid, catechol, tetrabutyl phosphonium hydroxide and dicarbethoxyhydrazine.
  • 21. A method of removing a photoresist coating from a substrate using the composition of any one of claims 15-20.
  • 22. A method of removing etch residue from a substrate using the composition of any one of claims 15-20.
  • 23. A composition for stripping photoresist and cleaning etch residue from substrates comprising from about 0.01 percent by weight to about 10 percent by weight of ammonium hydrogen fluoride, from about 15 percent by weight to about 50 percent by weight water and from about 10 percent by weight to about 95 percent by weight of a compound which is either an organic sulfoxide solvent corresponding to the following:
  • 24. The composition of claim 23 wherein the organic sulfoxide is dimethylsulfoxide.
  • 25. The composition of claim 24 wherein the composition further contains a co-solvent.
  • 26. The composition of claim 25 wherein the co-solvent is selected from the group consisting of: acetic acid, methyl acetate, methyl lactate, ethyl acetate, ethylene glycol diacetate, ethyl lactate, propylene glycol, propylene carbonate, N-methyl pyrrolidone, methoxyethoxyethanol, butoxyethoxyethanol, and polyethylene glycol monolaurate.
  • 27. The composition of claim 26 wherein said co-solvent is ethyl lactate and is present in an amount from about 30 percent by weight to about 60 percent by weight.
  • 28. The composition of claim 23 wherein the composition further contains a basic amine compound selected from the group consisting of hydroxylamine, hydrazine, 2-amino-2-ethoxy ethanol, monoethanolamine, diethylhydroxylamine, choline, tetramethylammonium formate, monoisopropanolamine, diethanolamine, and triethanolamine.
  • 29. The composition of claim 23 further comprising a chelating agent selected from the group consisting of gallic acid, catechol, tetrabutyl phosphonium hydroxide and dicarbethoxyhydrazine.
  • 30. A method of removing a photoresist coating from a substrate using the composition of any one of claims 23-29.
  • 31. A method of removing etch residue from a substrate using the composition of any one of claims 23-29.
  • 32. A composition for etching metal or oxide comprising from about 7.5 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 15 percent by weight to about 50% by weight water, and from about 10 percent by weight to about 95% by weight of an organic sulfoxide or sulfone solvent.
  • 33. A composition for etching silicon oxide comprising: from about 20 percent by weight to about 40 percent by weight of one or more fluoride compounds, from about 15 percent by weight to about 50 percent by weight water, from about 10 percent by weight to about 95 percent by weight of a compound which is either an organic sulfoxide corresponding to the following:
  • 34. The composition of claim 34 additionally comprising from about 0.1 to about 15 percent by weight of a basic amine compound.
  • 35. The composition of claim 34 wherein the one or more fluoride compounds is selected from the group consisting of: ammonium fluoride and ammonium bifluoride.
  • 36. The composition of claim 35 wherein said fluoride compound is ammonium bifluoride.
  • 37. The composition of claim 35 wherein said fluoride compound is ammonium fluoride.
  • 38. The composition of claim 34 additionally comprising a co-solvent.
  • 39. A method of etching silicon oxide using the composition of any one of claims 34-39.
CLAIM OF PRIORITY

This application is a continuation-in-part of U.S. application Ser. No. 09/903,064, filed Jul. 10, 2001, now U.S. Pat. No. 6,777,380, which claims the benefit of U.S. Provisional Patent Application No. 60/217,650, filed Jul. 10, 2000, both of which are incorporated herein by reference in their entirety.

Provisional Applications (1)
Number Date Country
60217650 Jul 2000 US
Continuation in Parts (1)
Number Date Country
Parent 09903064 Jul 2001 US
Child 10920494 Aug 2004 US