Deposition of doped copper seed layers having improved reliability

Information

  • Patent Grant
  • 8017523
  • Patent Number
    8,017,523
  • Date Filed
    Friday, May 16, 2008
    16 years ago
  • Date Issued
    Tuesday, September 13, 2011
    12 years ago
Abstract
Improved methods of depositing copper seed layers in copper interconnect structure fabrication processes are provided. Also provided are the resulting structures, which have improved electromigration performance and reduced line resistance. According to various embodiments, the methods involve depositing a copper seed bilayer on a barrier layer in a recessed feature on a partially fabricated semiconductor substrate. The bilayer has a copper alloy seed layer and a pure copper seed layer, with the pure copper seed layer is deposited on the copper alloy seed layer. The copper seed bilayers have reduced line resistance increase and better electromigration performance than conventional doped copper seed layers. Precise line resistance control is achieved by tuning the bilayer thickness to meet the desired electromigration performance.
Description
FIELD OF THE INVENTION

The present invention pertains to methods for forming a copper seed layer on a barrier layer of a partially fabricated integrated circuit.


BACKGROUND OF THE INVENTION

Damascene processing is a method for forming interconnections on integrated circuits that involves formation of inlaid metal lines in trenches and vias formed in a dielectric layer (inter-metal dielectric). The metal conductive lines are formed by an electroplating process. Because copper or other mobile conductive material provides the conductive paths of the integrated circuit, the underlying silicon devices must be protected from metal ions (e.g., Cu2+) that might otherwise diffuse or drift into the silicon. Suitable materials for diffusion barrier include tantalum, tantalum nitride, tungsten, titanium tungsten, titanium nitride, tungsten nitride, and the like.


After the diffusion barrier is deposited and prior to electroplating, a seed layer of copper or other metal is typically applied by a physical vapor deposition (PVD) process to enable subsequent electrofilling of the features with copper inlay. In order to serve as a seed for electroplating, the seed layer should be continuous, stable and have good adhesion to the barrier layer. A barrier or capping layer may be deposited on the inlaid copper after it has been planarized via a chemical mechanical polishing process.


An integration issue when using Cu as the primary conductor in devices is electromigration. Electromigration redistributes the Cu in the line, and the resulting extrusions can expand into the dielectric space. Extrusions can cause the Cu metal to extend past the Cu line into an adjacent Cu line, thereby causing a short circuit.


As the dimension of semiconductor devices continues to shrink, the reliability of the device, particularly the electromigration of copper interconnects, becomes more challenging. This reduction of the electromigration performance is due to the increase of current density and decrease of the critical void dimension as the dimensions shrink.


SUMMARY OF THE INVENTION

The present invention provides improved methods of depositing copper seed layers in copper interconnect structure fabrication processes. The resulting structures have improved electromigration performance and reduced line resistance associated with the use of an alloy seed. According to various embodiments, the methods involve depositing a copper seed bilayer on a barrier layer in a recessed feature on a partially fabricated semiconductor substrate. The bilayer has a copper alloy seed layer and a pure copper seed layer, with the pure copper seed layer deposited on the copper alloy seed layer. The copper seed bilayers have reduced line resistance increase and provide better electromigration performance than conventional doped copper seed layers. Precise line resistance control can be achieved by tuning the bilayer thickness to meet the desired electromigration performance.


These and other features and advantages of the present invention will be described in more detail below with reference to the associated drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIGS. 1A-1I show cross sectional depictions of device structures created during a dual Damascene fabrication process.



FIG. 2 is a schematic illustration of part of a damascene structure in a semiconductor device showing a void in a copper line caused by electromigration.



FIG. 3 is a process flow diagram illustrating relevant operations employed to form conductive lines by damascene processing according to various embodiments of the present invention.



FIG. 4 is a cross sectional depiction of device structure having a barrier layer overlaying a copper feature in a dielectric material in accordance with embodiments of the invention.



FIG. 5 is a cross sectional depiction of device structure after deposition of a copper seed bilayer in accordance with embodiments of the invention.



FIG. 6 is a cross-sectional depiction of a hollow cathode magnetron (HCM) apparatus suitable for practicing the current invention.



FIG. 7 are cross-sectional depictions of upstream and downstream electromigration test structures used to measure electromigration performance of devices made in accordance with embodiments of the invention.



FIG. 8 shows plots depicting the normalized RC delay for metallization lines M1 and M2 of devices made in accordance with embodiments of the invention as compared to devices made using seed monolayers.



FIG. 9 is a plots depicting the normalized downstream electromigration performance plotted against the normalized resistance shift for devices made in accordance with embodiments of the invention as compared to devices made using seed monolayers.





DETAILED DESCRIPTION

In the following detailed description of the present invention, numerous specific embodiments are set forth in order to provide a thorough understanding of the invention. However, as will be apparent to those skilled in the art, the present invention may be practiced without these specific details or by using alternate elements or processes. In other instances well-known processes, procedures and components have not been described in detail so as not to unnecessarily obscure aspects of the present invention.


In this application, the term “wafer” will be used interchangeably with “partially fabricated integrated circuit.” One skilled in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. The term “substrate” is also used and includes wafers.


The invention finds particular use in damascene processing. FIGS. 1A-1H show cross sectional depictions of device structures created at various stages of a dual damascene fabrication process, with the cross sectional depiction of a completed structure created by the dual damascene process is shown in FIG. 1I. Referring to FIG. 1A, an example of a typical substrate, 100, used for dual damascene fabrication is illustrated. Substrate 100 includes a pre-formed dielectric layer 103 (such as fluorine or carbon doped silicon dioxide or organic-containing low-k materials) with etched line paths (trenches and vias) in which a diffusion barrier 105 has been deposited followed by inlaying with copper conductive routes 107. Because copper provides the conductive paths of the integrated circuit, the underlying silicon devices must be protected from metal ions (e.g., Cu2+) that might otherwise diffuse or drift into the silicon.


Suitable materials for diffusion barrier 105 include tantalum, tantalum nitride, tungsten, titanium, titanium tungsten, titanium nitride, tungsten nitride, and the like. In a typical process, barrier 105 is formed by a physical vapor deposition (PVD) process such as sputtering, a chemical vapor deposition (CVD) process, or an atomic layer deposition (ALD) process. In the embodiments described herein copper serves as the metal in damascene processes, as depicted in these figures. The resultant partially fabricated integrated circuit 100 is a representative substrate for subsequent damascene processing, as depicted in FIGS. 1B-1H.


As depicted in FIG. 1B, a silicon nitride or silicon carbide diffusion barrier 109 is deposited to encapsulate conductive routes 107. Next, a first dielectric layer, 111, of a dual damascene dielectric structure is deposited on diffusion barrier 109. This is followed by deposition of an etch-stop layer 113 (e.g., composed of silicon nitride or silicon carbide) on the first dielectric layer 111.


The process follows, as depicted in FIG. 1C, where a second dielectric layer 115 of the dual damascene dielectric structure is deposited in a similar manner to the first dielectric layer 111, onto etch-stop layer 113. Deposition of an antireflective layer 117, typically a silicon oxynitride, follows.


The dual damascene process continues, as depicted in FIGS. 1D-1E, with etching of vias and trenches in the first and second dielectric layers. First, vias 119 are etched through antireflective layer 117 and the second dielectric layer 115. Standard lithography/etch techniques are used to etch a pattern of these vias. The etching of vias 119 is controlled such that etch-stop layer 113 is not penetrated. As depicted in FIG. 1E, in a subsequent lithography process, antireflective layer 117 is removed and trenches 121 are etched in the second dielectric layer 115; vias 119 are propagated through etch-stop layer 113, first dielectric layer 111, and diffusion barrier 109.


Next, as depicted in FIG. 1F, these newly formed vias and trenches are, as described above, coated with a diffusion barrier 123. As mentioned above, barrier 123 is made of tantalum, or other materials that effectively block diffusion of copper atoms into the dielectric layers.


After diffusion barrier 123 is deposited, a seed layer 124 is deposited as shown in FIG. 1G. The copper seed layer typically conforms to the diffusion barrier 123 and enables subsequent electrofilling of the features with copper inlay. As is discussed further below, in certain embodiments described herein the copper seed layer is a copper alloy seed/pure copper seed bilayer. Inlaid copper 125 after electrofilling is shown on FIG. 1H. The blanket layer of electrodeposited copper is removed by chemical mechanical polishing (CMP) leaving the conductive material only inside the features. FIG. 1I shows the completed dual damascene process, in which copper conductive routes 125 are inlayed (seed layer not depicted) into the via and trench surfaces over barrier 123.


Copper routes 125 and 107 are now in electrical contact and form conductive pathways, as they are separated only by diffusion barrier 123, which is also somewhat conductive. A diffusion barrier or capping layer such as silicon carbide may then be deposited over the copper routes.


As indicated above, the present invention relates to deposition of seed layers on diffusion barriers. Although FIGS. 1A-1I illustrate a dual damascene process, one of skill in the art will recognize that the methods of the present invention may be used with other process flows, including single damascene processes.


The above description refers to copper as the conductive material. Copper is typically used in place of other materials such as aluminum because of its higher conductivity. One issue that is present with copper conductive lines is electromigration. Electromigration is the transport of material in a conductor caused by the gradual movement of ions due to the momentum transfer between conducting electrons and diffusing metal atoms. In an integrated circuit having copper conductive paths, electromigration redistributes the copper, and the resulting extrusions can expand into the dielectric space. In general, electromigration occurs when the metal atoms of conductive lines are subjected to electric fields while the circuit is in operation. The metal atoms will redistribute in the direction of the electron flow to form voids (areas lacking metal material) and extrusions (protrusions of metal material outside of the metal or dielectric barrier). For example, this is illustrated along a metal line in damascene device of FIG. 2, which illustrates a cross section of a part of a damascene device in which a Cu line 201 is encapsulated by metal diffusion barriers 205, typically made of tantalum, tantulum nitride or combination thereof, between the Cu and surrounding dielectric material 207. In addition, a dielectric capping layer 203 is deposited between the Cu line 201 and dielectric 209 to avoid electrical shorting of adjacent metal lines and to complete the Cu encapsulation. A void 211 has formed at a capping layer/Cu interface, causing the Cu buildup and formation of an extrusion 213 downstream of the electron flow in the Cu line 201. Voids will cause the Cu line to thin and eventually separate completely, causing an open circuit. Extrusions can cause the Cu metal to extend past the Cu line into an adjacent Cu line, thereby causing a short circuit.


As the dimension of semiconductor devices continues to shrink, electromigration performance is reduced and electromigration becomes more of a problem. This reduction in electromigration performance is due to the increase of current density and decrease of the critical void dimension required to short the interconnect or other conductive path. Alloy doping, such as aluminum doping is used in conventional devices to improve the electromigration performance. Prior to the methods described herein, however, electromigration improvement has come at the cost of high line resistance increase when alloy doping is used. High line resistance in turn leads to higher RC delay and decreasing device performance. The methods described herein reduce Cu interconnect line resistance increase when alloy doping is used for reliability improvement.



FIG. 3 is a process flow diagram depicting operations in forming a copper feature according to certain embodiments of the invention. The process starts with the deposition of barrier sublayer (block 301). This deposition process may be accomplished by a PVD or iPVD technique but other deposition methods may be also used, including chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), electroless deposition, selective reduction of an organometallic precursor from a supercritical solution, and the like. PVD processes may be preferred in some applications to deposit high quality, low-resistance films. Suitable barrier layer materials include, but are not limited to, tantalum, tantalum nitride, tungsten, titanium tungsten, titanium nitride, tungsten nitride, cobalt, ruthenium and combinations of these.


In certain embodiments, a Ta/TaN bilayer is used as the barrier layer. The barrier layer is generally deposited to conform to the recessed feature. Forming the conformal barrier layer may involve resputtering and/or additional deposition operations to achieve generally conformal and uniform coverage. In certain embodiments, all or some of the portion of the barrier layer at the feature bottom may be removed, e.g., by resputtering all of the barrier layer material at the bottom of the feature or by a punch-through resputter etch into the underlying copper lines. Other steps may be added to the general process flow as necessary.


In an operation 303, the substrate is then transferred to a metal seed deposition module or chamber. The metal seed deposition module is a vacuum chamber that is capable of depositing a metal seed layer. In some embodiments, a suitable PVD apparatus employs a magnetic array for electron confinement to generate a high density plasma. The magnetic array may be implemented as one or more electromagnets, permanent magnets, or some combination of electromagnets and permanent magnets. The apparatus may include other magnets located proximate the substrate region for promoting process uniformity.


Examples of suitable apparatuses include hollow cathode magnetron (HCM) sputtering modules. Such apparatuses are described in further below as well as in U.S. Pat. Nos. 5,482,611; 6,179,973; 6,193,854; and 6,217,716, each of which is incorporated herein by reference for all purposes. Other types of sputtering apparatuses that may be used in accordance with the invention include planar magnetron sputtering modules. Also, as described below, the apparatus typically employs a RF biased electrostatic chuck pedestal, which secures the wafer and applies an RF bias on the wafer, if needed.


In the metal seed deposition chamber, a doped copper seed layer is deposited on the barrier layer to conform to the feature. In certain embodiments, the doped copper seed layer may contact the underlying metal at the bottom of the feature if the barrier layer is not present there. Deposition of the doped layer typically occurs using a target having the desired alloy concentration. Examples of Cu dopants include Al, Mg, Zr, Ti, Sn, Pd and Cr. If necessary, e.g., to increase uniformity or thickness, depositing the doped copper seed layer may involve resputtering and/or additional deposition steps.


Next, a pure copper seed layer is deposited on the doped copper seed layer, forming a copper seed bilayer. See block 307. In this context, pure copper refers to substantially pure copper, i.e., without a significant dopant concentration. According to various embodiments, the pure layer may have less than 0.5% dopant concentration, less than 0.25% dopant concentration, less than 0.1% dopant concentration, less than 0.05% dopant concentration, and/or substantially no dopant concentration. In certain embodiments, operations 305 and 307 are performed without exposure to ambient or other oxidant. In many embodiments, this involves transferring the substrate to a second metal deposition chamber or module without a vacuum break, i.e., using a vacuum transfer module. In other embodiments, deposition of both layers of the bilayer seed layer are carried out in the same chamber, e.g., using a segmented target as described in U.S. patent application Ser. No. 11/564,222, filed Jun. 22, 2006, and titled “Method and Apparatus for Controlling Sputtered Flux in PVD Sources,” which is hereby incorporated by reference herein for all purposes.


After the copper alloy seed bilayer is deposited, the feature is filled with copper using an electrofilling process and the feature is planarized with a CMP process. Block 309. The feature is then encapsulated by depositing a dielectric diffusion barrier such as silicon carbide or other material on the feature.


Using the bilayer Cu seed layer provides improved reliability over conventional Al-doped Cu seed monolayers, as well as providing improved line resistance over these conventional processes. The bilayer also provides improved electromigration performance and conformal deposition of the seed layer over conventional copper only seed layers. Use of doped-Cu/pure Cu bilayer was found to unexpectedly result in enhanced electromigration performance over conventional doped Cu monolayers, even when the total amount of dopant remained the same or was reduced. Based on these unexpected results (detailed below) and without being bound by a particular theory, it is believed that the pure copper seed layer of the bilayer protects the aluminum or other dopant in the doped copper layer from oxidizing, which in turn allows diffusion of the dopant to reinforce the copper/overlying diffusion interface thereby improving electromigration. FIG. 4 shows an example of a copper feature 401 and seed layer 403 in a dielectric material. Barrier layer 405, e.g., SiC or SiN, overlays the feature 401 as well as the surrounding dielectric. The interface shown at 407 is the most susceptible to electromigration. Protecting the dopant from oxidation in turn allows the aluminum (or other dopant) to diffuse to and reinforce the interface shown at 407. It also may prevent any intrinsic defects that may be caused by the presence of alumina. In addition to improving electromigration performance, the aluminum doped seed layer has improved wettability on the diffusion barrier surface over pure copper.



FIG. 5 depicts a schematic representation of a cross-section of a feature after deposition of the copper seed bilayer. Feature 501 in dielectric material 505 is shown with diffusion barrier layer 503 deposited in the feature. Conformal doped copper seed layer 507 and pure copper seed layer 509 are also shown. Appropriate dopants include Al, Mg, Zr, Ti, Sn, Pd and Cr, with aluminum being used in particular embodiments. The amount of aluminum or other dopant should be sufficient improve electromigration, but low enough to avoid high increases in line resistance. According to various embodiments, the doped copper seed layer is between about 0.05-5% (atomic) doped, more particularly between about 0.1%-2.5%, and even more particularly between about 0.25-1% doped. The doped copper seed layer contains a substantially higher percentage of doping than the pure copper seed layer.


Total field thickness of the bilayer depends on the technology node, ranging from about 300 Å (e.g., for 32 nm) to over 800 (e.g., for 65 nm). For the 45 nm node, for example, the total thickness of the bilayer typically ranges from 300-600 Å; for the 32 nm node, the total thickness may be between about 300-350 Å, or lower.


The pure copper layer of the bilayer should be thick enough to prevent oxidation of the underlying alloy layer. According to various embodiments, the thickness of the pure copper layer ranges from about 25 Å to a few hundred angstroms. The thickness of the alloy layer should be sufficient to provide electromigration improvement. According to various embodiments, the thickness of the alloy layer ranges from about 25 Å to a few hundred angstroms.


Electromigration performance and line resistance increases with increasing amounts of dopant in the bilayer. For equivalent or improved electromigration performance, the Al/Cu+Cu seed bilayers have less resistance shift than conventional doped monolayers.


In certain embodiments, the ratio of the alloy layer thickness to pure copper layer thickness is greater than 1:1, i.e., the alloy layer is thicker than the pure copper layer. Bilayers having such ratios results in electromigration improvement over a alloy monolayer having more dopant while providing reducing line resistance shift.


In other embodiments, the ratio may be about 1:1 or less than 1:1. As is described further below with respect to FIGS. 8 and 9, precise line resistance control can be achieved via the bilayer thickness tuning in order to meet the desired electromigration performance. The amount of dopant in the bilayer depends on dopant concentration in the alloy as well as alloy layer thickness; in certain embodiments, it may be desirable to tune this parameter as well to achieve the desired performance as well as minimizing overall thickness.


Apparatus


As indicated above, any suitable deposition apparatus appropriate for performing the metal seed deposition operations may be used, including PVD apparatuses that use hollow cathode magnetron (HCM) or planar magnetron targets.



FIG. 6 presents a simple cross sectional view of one type of HCM sputtering apparatus that can be used in accordance with the invention. The HCM apparatus has two main components, the source 601, in which plasma is created and maintained, and the RF bias electrostatic chuck (ESC) pedestal 603, which secures the wafer and applies an RF bias on the wafer, if needed. In this specific example, the source 601 contains four electromagnets 605a-605d, a cathode target 607 and an anode 609. The cathode target 607 generally has a hollow cup-like shape so that plasma formed in the source can be concentrated within this hollow region. The cathode target 607 also serves as a sputter target and is, therefore, made of the metal material, such as copper or copper alloy, which is to be deposited onto the substrate.


An inert gas, such as argon, is introduced to into the hollow region of the cathode target 607 to form plasma. An intense magnetic field is produced by electromagnets 605a-605d within the cathode target region. Additional electromagnets are arranged downstream of the cathode target so that different currents can be applied to each electromagnet, thereby producing an ion flux and a controlled deposition and/or etch rate and uniformity. A metal spacer 609, typically held at plasma floating potential, is used, in conjunction with the source electromagnets to shape the plasma distribution at the target mouth. The RF bias ESC pedestal 603 holds the wafer substrate in place and can apply a RF bias to the wafer substrate. The ion energy, and therefore the deposition and/or etch rate can also be controlled by the pedestal RF bias. Typically, the amount of sputtering is controlled by the RF power at fixed RF frequency. Various RF frequencies can be used to achieve this effect. One preferred RF frequency is 13.56 MHz. An additional function of the ESC pedestal is to provide wafer temperature control during sputter etch and deposition. Typically, argon backside gas is used to provide thermal coupling between the substrate and the ESC. In many cases, the ESC is cooled during deposition.


As indicated above, the metal seed deposition, as well as other process steps in the overall process flow may be in done in the same processing tool. Tools that allow degas, ALD or CVD deposition, and PVD deposition all under the same vacuum are the INOVA and INOVA NExT deposition systems available from Novellus Systems of San Jose, Calif. These systems are comprised of processing modules, e.g. for degas, cool, preclean, PVD, CVD or ALD processes, mounted to the same wafer handling module. Once a wafer is in the tool and a vacuum is established, all of the above described process aspects are performed. In particular embodiments, the barrier layer deposition occurs in a tantalum (or other barrier material) PVD deposition module, with the wafer then transferred to a first metal seed PVD deposition module for copper alloy seed layer deposition, and then to a second metal seed PVD deposition module for pure copper seed layer deposition.


Although the discussion herein focuses on deposition of seed layers by PVD processes, the scope of the invention extends to other techniques for deposition of the bilayers including ALD, iALD, CVD and combinations thereof. One of skill in the art will understand that the improvements in electromigration and line resistance shift may also be obtained with these methods and would understand how to implement them.


Returning to FIG. 6, in certain embodiments, a system controller 612 is employed to control process conditions during deposition and resputter, insert and remove wafers, etc. The controller will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.


In certain embodiments, the controller controls all or some of the activities of the deposition apparatus. The system controller executes system control software including sets of instructions for controlling the timing, DC power levels, mixture of gases, chamber pressure, chamber temperature, wafer temperature, RF power levels, wafer chuck or susceptor position, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.


Typically there will be a user interface associated with controller 612. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


The computer program code for controlling the deposition, resputtering and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.


The controller parameters relate to process conditions such as, for example, desired thickness of each layer of a bilayer, deposition time, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, cooling gas pressure, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus.


The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive deposition processes. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, heater control code, and plasma control code.


A bilayer thickness program may include program code for controlling the thickness of each layer of the bilayer by modulating deposition time, RF power levels, etc. A substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target. A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber. A pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber. A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas such as helium to the wafer chuck. A plasma control program may include code for setting RF power levels applied to the process electrodes at the target and the wafer chuck.


Examples of chamber sensors that may be monitored during deposition and/or resputtering include mass flow controllers, pressure sensors such as manometers, and thermocouples located in pedestal or chuck. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain desired process conditions


Experimental


Upstream and downstream electromigration test structures were fabricated using the copper seed deposition conditions shown in the below table to deposit seed layers prior to copper electroplating of the lines (trenches) and vias of the structures:















Cu seed layer




deposition



Process Split ID
thickness and
PVD Process Detail










No. and description
composition
Al/Cu layer
Pure Cu layer














1 -
pure Cu seed
450 Å pure Cu
NA
Dep 350 Å/Etch






75 Å/Dep 100 Å


2 -
doped Cu
450 Å 1%
Dep 350Å/Etch
NA



monolayer seed
Al/Cu
75Å/Dep 100 Å



3 -
doped Cu
450 Å 0.5%
Dep 350Å/Etch
NA



monolayer seed
Al/Cu
75Å/Dep 100 Å



4 -
bilayer seed
335 Å 1%
Dep 335 Å
Etch 75 Å/Dep 115 Å




Al/Cu +






115 Å Cu




5 -
bilayer seed
225 Å 1%
Dep 225 Å
Dep 125 Å/Etch




Al/Cu +






225 Å Cu

75 Å/Dep 100 Å


6 -
bilayer seed
115 Å1%
Dep 115 Å
Dep 235 Å/Etch




Al/Cu +






335 Å Cu

75Å/Dep 100Å


7 -
reverse bilayer
225 Å Cu +
Dep 125Å/Etch
Dep 225 Å(first)



seed
225 Å 1%
75Å/Dep 100 Å





Al/Cu
(second)










FIG. 7 shows cross-sections of the downstream and upstream test structures. As indicated, electron flow (e−) is along lines 701a and 701b. Vias 702 are indicated. The copper seed layers were deposited on Ta/TaN diffusion barriers. After the electroplating process, SiC layers were deposited to encapsulate the copper line.


Downstream Electromigration Improvement


The following test structure dimensions (cross-section depicted in FIG. 7) and test conditions were used to test the downstream electromigration:


M1 line dimensions (L×W×H): 500 μm×90 nm×180 nm


Via: 90 nm diameter. Single link.


Temperature: 325° C.


Current density (J): 2.5 MAmperes/cm2, with stress current adjusted based on the physical SEM cross section of the mean trench dimension, where the actual stress current applied on individual test key is calculated based on the measured resistance of individual test key. 16 test keys are generally used for each test.


The following results were obtained:














Process Split ID No.
mean
Sigma


and description
TTF (h)
Sigma


















1 -
baseline (pure copper)
13.2
0.6


2 -
450 Å Al.01/Cu
239
0.6


3 -
450 Å Al.005/Cu
84.3
0.9


4 -
335 Å Al.01/Cu + 115 Å Cu
738
1


5 -
225 Å Al.01/Cu + 225 Å Cu
258.7
0.6


6 -
115 Å Al.01/Cu + 335 Å Cu
77.5
0.6


7 -
225 Å Cu + 225 Å Al.01/Cu
129
0.7










The Al/Cu—Cu bilayer resulted the highest mean time to failure: the 335 Å Al0.01/Cu+115 Å Cu seed bilayer (process ID 4) resulted in mean TTF of 738, an improvement over both of the conventional Al-doped copper seed monolayers, which had mean TTFs of 239 (process ID 2) and 84.3 (process ID 3), respectively. It should be noted that for the Al-doped monolayers, the layer having the highest concentration of aluminum results in the best electromigration performance, as would be expected. Similarly, increasing the amount of aluminum in the bilayers increases electromigration performance. Unexpectedly, the 335 Å Al0.01/Cu+115 Å Cu seed bilayer and 225 Å Al0.01/Cu+225 Å Cu seed bilayer outperform the 450 Å Al0.01/Cu monolayer despite having less aluminum in the seed layer. It should also be noted that the 225 Å Al0.01/Cu+225 Å Cu performs significantly better than the reverse bilayer, despite having the same total amount of aluminum.


Upstream Electromigration Improvement


The following test structure dimensions (cross-section depicted in FIG. 7) and test conditions were used to test the downstream electromigration:


M2 line dimensions (L×W×H): 500 μm×90 nm×180 nm


Via: 90 nm diameter. Single link.


Temperature: 325° C.


Current density (J): 2.5 MAmperes/cm2, current adjusted














Process Split ID No.
Mean



and description
TTF (hr)
Sigma


















1 -
baseline (pure copper)
9.3
0.7


2 -
450 Å Al.01/Cu
6.2
0.4


3 -
450 Å Al.005/Cu
7.9
0.2


4 -
335 Å Al.01/Cu + 115 Å Cu
81.9
0.38


5 -
225 Å Al /Cu + 225 Å Cu
41.6
0.5


6 -
115 Å Al /Cu + 335 Å Cu
9.7
0.3


7 -
225 Å Cu + 225 Å Al.01/Cu
8.6
0.7









The test structure used for the upstream electromigration test has narrow metal 2 line width and thus high aspect ratio for the trench over via structure. Hence during the metal barrier/seed process (PVD) and electro plating, the requirements for PVD step coverage and formation of continuous layer are more stringent due to the resulted high aspect ratio structure. The Al-doped Cu/pure Cu bilayers show significant improvement over the Al-doped Cu monolayers. Note that while both the 335 Å Al0.01/Cu+115 Å Cu and 225 Å Al0.01/Cu+225 Å Cu bilayers (process IDs 4 and 5, respectively) have significantly higher mean time to failure than the Al-doped Cu monolayers and the baseline pure copper monolayer, the 115 Å Al0.01/Cu+335 Å Cu (process ID 6) does not. This indicates that the amount of dopant in the bilayer is not high enough to provide electromigration improvement. Further more, split 7 which has pure Cu as the first layer followed by 1% Al/Cu does not provide EM improvement as split 5, suggesting the order of depositing alloy Cu seed and pure Cu seed can impact the electromigration improvement.


Resistance


Line and via resistance were measured for each of the Al/Cu splits. No Kelvin via resistance difference was observed between splits. FIG. 8 shows the normalized line RC delay shift for lines M1 and M2, with percentages indicating the amount of aluminum normalized to 450 Å 1% Al. The RC delay shift correlates to line resistance shift. The results demonstrate that precise resistance shift control can be achieved by modulating the Al (or other dopant) doping.



FIG. 9 is a plot of normalized downstream EM improvement (as indicated by normalized TTF) plotted against normalized resistance shift. Resistance is normalized to pure Cu as 1, down stream EM is normalized to the control split pure Cu seed as 1. Rough trendlines estimated for the Al/Cu+Cu bilayer data points as well as for the conventional Al/Cu monolayers are shown. It is desirable for the slope of this line to be as high as possible, i.e., for a high electromigration improvement over pure Cu seed to be obtained with a low resistance shift. The figure shows that the Al/Cu+Cu bilayer results in less resistance shift for the same (or better EM performance). The bilayer Al/Cu+Cu seed, for example, shows ˜11% line resistance increase, but provides better down stream electromigration than single layer Al/Cu seed, which leads to ˜21% higher line resistance shift. Precise line resistance control can be achieved by tuning the bilayer thickness to meet the desired electromigration performance.


Although various details have been omitted for clarity's sake, various design alternatives may be implemented. Therefore, the present examples are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope of the appended claims.

Claims
  • 1. A method for forming a copper interconnect structure on a partially fabricated integrated circuit substrate, the method comprising: providing a recessed feature in a dielectric layer, said feature having a barrier layer on at least the sidewalls of the feature; andforming a copper seed bilayer on at least a portion of the barrier layer, wherein forming said copper seed bilayer comprises depositing by a vapor deposition process a copper alloy seed layer on at least a portion of the barrier layer and depositing a pure copper seed layer by a vapor deposition process on the copper alloy seed layer, wherein the ratio of copper alloy seed layer thickness to pure copper seed layer thickness is greater than or equal to about 1:1 and wherein the thickness of the copper alloy seed layer is at least about 25 Å.
  • 2. The method of claim 1 wherein the barrier layer comprises one or more of the following: Ta, TaNx, Ti, TiNx, W, WNx, Ru, and Co.
  • 3. The method of claim 1 wherein the copper alloy seed layer comprises aluminum.
  • 4. The method of claim 1 further comprising filling the feature with bulk conductive material comprising copper.
  • 5. The method of claim 4 further comprising depositing a dielectric barrier silicon carbide layer on the filled feature.
  • 6. The method of claim 1 wherein the total thickness of the bilayer is no more than about 1000 Å.
  • 7. The method of claim 1 wherein the total thickness of the bilayer is no more than about 450 Å.
  • 8. The method of claim 1 wherein the copper seed alloy layer comprises about 0.25-2 atomic % aluminum.
  • 9. The method of claim 1 wherein the recessed feature is a via.
  • 10. The method of claim 1 wherein the recessed feature is a trench.
  • 11. The method of claim 1 wherein providing a recessed feature comprises providing a dual damascene feature.
  • 12. The method of claim 1 wherein depositing a copper alloy seed layer on at least a portion of the barrier layer comprises sputtering copper alloy from a copper alloy target.
  • 13. The method of claim 1 wherein depositing a pure copper seed layer on at least a portion of the barrier layer comprises sputtering copper from a copper target.
  • 14. The method of claim 1 wherein forming a copper seed bilayer comprises depositing the pure copper seed layer on the copper alloy seed layer without exposure of the copper alloy seed layer to oxidizing conditions.
  • 15. The method of claim 14 wherein forming a copper seed bilayer comprises transferring the partially fabricated integrated circuit substrate from a copper alloy seed layer deposition chamber to a pure copper seed deposition chamber under vacuum conditions.
  • 16. The method of claim 1 wherein the total thickness of the copper seed bilayer is between about 300 Å and 800 Å such that the thickness of the copper alloy seed layer is at least about 150 Å.
US Referenced Citations (195)
Number Name Date Kind
3763031 Scow et al. Oct 1973 A
3767551 Lang et al. Oct 1973 A
4058430 Suntola et al. Nov 1977 A
4392111 Rostoker Jul 1983 A
4492620 Matsuo et al. Jan 1985 A
4588490 Cuomo et al. May 1986 A
4604180 Hirukawa et al. Aug 1986 A
4609903 Toyokura et al. Sep 1986 A
4622121 Wegmann et al. Nov 1986 A
4737384 Murthy et al. Apr 1988 A
4874493 Pan Oct 1989 A
4963524 Yamazaki Oct 1990 A
4999096 Nihei et al. Mar 1991 A
5009963 Ohmi et al. Apr 1991 A
5084412 Nakasaki Jan 1992 A
5126028 Hurwitt et al. Jun 1992 A
5139825 Gordon et al. Aug 1992 A
5178739 Barnes et al. Jan 1993 A
5194398 Miyachi et al. Mar 1993 A
5221449 Colgan et al. Jun 1993 A
5281485 Colgan et al. Jan 1994 A
5298091 Edwards, III et al. Mar 1994 A
5378506 Imai et al. Jan 1995 A
5482611 Helmer et al. Jan 1996 A
5622608 Lanford et al. Apr 1997 A
5629221 Chao et al. May 1997 A
5654233 Yu Aug 1997 A
5656860 Lee Aug 1997 A
5766379 Lanford et al. Jun 1998 A
5789027 Watkins et al. Aug 1998 A
5801089 Kenney Sep 1998 A
5904565 Nguyen et al. May 1999 A
5948215 Lantsman Sep 1999 A
5962923 Xu et al. Oct 1999 A
5969422 Ting et al. Oct 1999 A
5985762 Geffken et al. Nov 1999 A
6037257 Chiang et al. Mar 2000 A
6046108 Liu et al. Apr 2000 A
6074544 Reid et al. Jun 2000 A
6077403 Kobayashi et al. Jun 2000 A
6077780 Dubin Jun 2000 A
6080285 Liu et al. Jun 2000 A
6093966 Venkatraman et al. Jul 2000 A
6099702 Reid et al. Aug 2000 A
6100200 Van Buskirk et al. Aug 2000 A
6105078 Crockett et al. Aug 2000 A
6110346 Reid et al. Aug 2000 A
6114238 Liao Sep 2000 A
6120641 Stevens et al. Sep 2000 A
6124203 Joo et al. Sep 2000 A
6126798 Reid et al. Oct 2000 A
6139712 Patton et al. Oct 2000 A
6147000 You et al. Nov 2000 A
6156167 Patton et al. Dec 2000 A
6159354 Contolini et al. Dec 2000 A
6159857 Liu et al. Dec 2000 A
6162344 Reid et al. Dec 2000 A
6179973 Lai et al. Jan 2001 B1
6179983 Reid et al. Jan 2001 B1
6193854 Lai et al. Feb 2001 B1
6200893 Sneh Mar 2001 B1
6203613 Gates et al. Mar 2001 B1
6217716 Fai Lai Apr 2001 B1
6221757 Schmidbauer et al. Apr 2001 B1
6228754 Iacoponi et al. May 2001 B1
6235163 Angelo et al. May 2001 B1
6249055 Dubin Jun 2001 B1
6251242 Fu et al. Jun 2001 B1
6265313 Huang et al. Jul 2001 B1
6271591 Dubin et al. Aug 2001 B1
6274008 Gopalraja et al. Aug 2001 B1
6277249 Gopalraja et al. Aug 2001 B1
6280597 Kashiwada et al. Aug 2001 B1
6287977 Hashim et al. Sep 2001 B1
6333547 Tanaka et al. Dec 2001 B1
6340435 Bjorkman et al. Jan 2002 B1
6342133 D'Couto et al. Jan 2002 B2
6342448 Lin et al. Jan 2002 B1
6350353 Gopalraja et al. Feb 2002 B2
6358376 Wang et al. Mar 2002 B1
6387805 Ding et al. May 2002 B2
6391785 Satta et al. May 2002 B1
6395642 Liu et al. May 2002 B1
6402907 Rich Jun 2002 B1
6417094 Zhao et al. Jul 2002 B1
6436251 Gopalraja et al. Aug 2002 B2
6440854 Rozbicki Aug 2002 B1
6444104 Gopalraja et al. Sep 2002 B2
6448176 Grill et al. Sep 2002 B1
6451177 Gopalraja et al. Sep 2002 B1
6492262 Uzoh Dec 2002 B2
6498091 Chen et al. Dec 2002 B1
6500762 Hashim et al. Dec 2002 B2
6509267 Woo et al. Jan 2003 B1
6538324 Tagami et al. Mar 2003 B1
6541374 de Felipe et al. Apr 2003 B1
6554914 Rozbicki et al. Apr 2003 B1
6559061 Hashim et al. May 2003 B2
6562715 Chen et al. May 2003 B1
6566246 de Felipe et al. May 2003 B1
6589887 Dalton et al. Jul 2003 B1
6605534 Chung et al. Aug 2003 B1
6607977 Rozbicki et al. Aug 2003 B1
6607982 Powell et al. Aug 2003 B1
6613199 Tobin et al. Sep 2003 B1
6624066 Lu et al. Sep 2003 B2
6642146 Rozbicki et al. Nov 2003 B1
6652718 D'Couto et al. Nov 2003 B1
6656841 Kim Dec 2003 B1
6660622 Chen et al. Dec 2003 B2
6673716 D'Couto et al. Jan 2004 B1
6683425 Lai Jan 2004 B1
6706142 Savas et al. Mar 2004 B2
6706155 Morimoto et al. Mar 2004 B2
6709557 Kailasam et al. Mar 2004 B1
6709987 Hashim et al. Mar 2004 B2
6755945 Yasar et al. Jun 2004 B2
6758947 Chiang et al. Jul 2004 B2
6764940 Rozbicki et al. Jul 2004 B1
6784096 Chen et al. Aug 2004 B2
6790776 Ding et al. Sep 2004 B2
6841044 Ruzic Jan 2005 B1
6893541 Chiang et al. May 2005 B2
6905965 Subrahmanyan et al. Jun 2005 B2
6919275 Chiang et al. Jul 2005 B2
6943111 Lin et al. Sep 2005 B2
6949457 Fiordalice et al. Sep 2005 B1
6969448 Lau Nov 2005 B1
6992012 Haskim et al. Jan 2006 B2
7030031 Wille et al. Apr 2006 B2
7037830 Rumer et al. May 2006 B1
7048837 Somekh et al. May 2006 B2
7074714 Chiang et al. Jul 2006 B2
7135402 Lin et al. Nov 2006 B2
7186648 Rozbicki et al. Mar 2007 B1
7253109 Ding et al. Aug 2007 B2
7294574 Ding et al. Nov 2007 B2
7365001 Yang et al. Apr 2008 B2
7510634 Klawuhn et al. Mar 2009 B1
7645696 Dulkin et al. Jan 2010 B1
7659197 Juliano Feb 2010 B1
7682966 Rozbicki et al. Mar 2010 B1
7732314 Danek et al. Jun 2010 B1
20010039113 Blalock et al. Nov 2001 A1
20020000382 Morrissey et al. Jan 2002 A1
20020028576 Hashim et al. Mar 2002 A1
20020041028 Choi et al. Apr 2002 A1
20020110999 Lu et al. Aug 2002 A1
20020115287 Hashim et al. Aug 2002 A1
20030034244 Yasar et al. Feb 2003 A1
20030116427 Ding et al. Jun 2003 A1
20030129828 Cohen Jul 2003 A1
20030216035 Rengarajan et al. Nov 2003 A1
20040048461 Chen Mar 2004 A1
20040094402 Gopalraja et al. May 2004 A1
20040152301 Hashim et al. Aug 2004 A1
20040171250 Chiang et al. Sep 2004 A1
20040188239 Robison et al. Sep 2004 A1
20040211661 Zhang et al. Oct 2004 A1
20040224507 Marieb et al. Nov 2004 A1
20040266175 Chen et al. Dec 2004 A1
20050006222 Ding et al. Jan 2005 A1
20050020080 Chiang et al. Jan 2005 A1
20050032382 Rossman Feb 2005 A1
20050085068 Chiang et al. Apr 2005 A1
20050085070 Park Apr 2005 A1
20050103620 Chistyakov May 2005 A1
20050106865 Chung et al. May 2005 A1
20050110147 Wu et al. May 2005 A1
20050173239 Somekh et al. Aug 2005 A1
20050186793 Omoto et al. Aug 2005 A1
20050211545 Cerio, Jr. et al. Sep 2005 A1
20050252765 Zhang et al. Nov 2005 A1
20050255690 Chen et al. Nov 2005 A1
20050255691 Ding et al. Nov 2005 A1
20050255700 Gopalraja et al. Nov 2005 A1
20050266682 Chen et al. Dec 2005 A1
20050272254 Ding et al. Dec 2005 A1
20060014378 Aggarwal et al. Jan 2006 A1
20060024953 Rao et al. Feb 2006 A1
20060030151 Ding et al. Feb 2006 A1
20060057843 Chen et al. Mar 2006 A1
20060125100 Arakawa Jun 2006 A1
20060148253 Chung et al. Jul 2006 A1
20060207873 Fu Sep 2006 A1
20060258152 Haider Nov 2006 A1
20070020922 Chiang et al. Jan 2007 A1
20070178682 Chiang et al. Aug 2007 A1
20070193982 Brown et al. Aug 2007 A1
20070197012 Yang et al. Aug 2007 A1
20070283886 Chung et al. Dec 2007 A1
20080142359 Gopalraja et al. Jun 2008 A1
20080190760 Tang et al. Aug 2008 A1
20080310005 Tonar et al. Dec 2008 A1
20090233438 Ding et al. Sep 2009 A1
Foreign Referenced Citations (3)
Number Date Country
1567548 Jan 2005 CN
0 692 551 Jan 1996 EP
11-186273 Sep 1999 JP