The invention relates to a method for re-calculating a pattern to be exposed on a target, in order to optimize the write performance of a charged-particle multi-beam writing apparatus employing a scanning stripe exposure. This type of apparatus exposes a multitude of pixels within an exposure region on the target in order to generate the pattern by means of a scanning stripe exposure where a structured beam composed of a plurality of beamlets is directed onto the target and moved along a path over the exposure region wherein between subsequent exposure steps the structured beam is shifted on the target by consecutive exposure lengths to have the plurality of beamlets expose consecutively different pixels, with the exposure length being smaller than the width of the structured beam on the target. The pattern initially comprises a number of pattern elements, wherein each pattern element has a respective shape comprising a boundary and an interior, and is associated with a respective assigned dose defining a value of exposure dose to be exposed for the pixels of the interior of the respective shape. For instance, the boundary may be thought of being composed of a set of boundary segments which together define a closed loop surrounding the interior.
Charged-particle multi-beam processing apparatuses of the mentioned type are well-known in prior art. In particular, the applicant has realized charged-particle multi-beam devices as described in several patents in the name of the applicant with respect to the charged-particle optics, pattern definition (PD) device, and multi-beam writing methods employed therein. For instance, a 50 keV electron multi-beam writer which allows to realize leading-edge complex photomasks for 193 nm immersion lithography, of masks for EUV lithography and of templates (1× masks) for imprint lithography, has been implemented, called eMET (electron Mask Exposure Tool) or MBMW (multi-beam mask writer), for exposing 6″ mask blank substrates. Moreover, a multi-beam system also referred to as PML2 (Projection Mask-Less Lithography) was implemented for electron beam direct write (EBDW) applications on Silicon wafer substrates. The multi-beam processing apparatuses of the said kind are hereinafter referred to as multi-beam writer, or short MBW.
As a typical implementation of a MBW, the applicant has realized a 50 keV electron writer tool, which implemented a total beam size of 20 nm comprising 512×512 (=262,144) programmable beamlets within a beam array field of dimensions 81.92 μm×81.92 μm at the substrate. In this writer tool a typical type of substrate is a 6″ mask blank (having an area of 6″×6″=152.4 mm×152.4 mm and thickness of e.g. 1″/4=6.35 mm) covered with an electron beam sensitive resist; furthermore, multi-beam writing is possible on resist-covered 150 mm Si wafers as well. Further information about this writer tool of the MBW type can be found in U.S. Pat. No. 9,653,263 of the applicant, which is herewith incorporated into this disclosure by reference. The MBW is configured to perform a writing method which herein is referred to as “scanning stripe exposure”. The scanning stripe exposure writing method is discussed below inasmuch as needed in the context of the invention with reference to
Another state-of-the-art writer technology which is used to expose a pattern, such as a mask pattern on a glass substrate, is the so-called VSB technology (variable shaped beam). The VSB technology is based on a sequential delivery of “shots” on the substrate whereas the shots are adjustable in size and the dose per shot is controllable by a high-speed blanker. Typically, the current density of an advanced VSB writer is very high (100-1000 A/cm2), whereas in a MBW the current density is in the order of 1-4 A/cm2. Thus, the VSB writer current density is higher by a factor of up to 1000 as compared to a MBW. The improved productivity of a MBW originates from the very large number of beams (“beamlets”), which is typically in the order of 250 thousand or more. Hence, a multi-beam writer can theoretically deliver up to 250 times higher current than a VSB writer, despite the lower current density, if the same beam size is applied. Considering all instrumental and physical limitations such as Coulomb interaction within the particles in the beams, the multi-beam writer can practically still deliver about 10-25 times more current than a VSB writer, which explains the improvement in productivity.
In spite of the increased total current, however, a MBW is limited with regard to the maximum current density of the individual beamlets. In the typical writing strategy of the MBW the beam is scanned within a certain cell area while the stage is scanned at constant velocity along one selected stage motion direction (see U.S. Pat. No. 9,053,906), and the maximal exposure time for a single location at the target in a MBW is determined by the beam scanning motion inherent to the method, regardless of whether the pattern requires an exposure dose or not. This limits the maximum exposure dose at a given location (‘pixel’) to a value determined by the local current density of the beamlets, multiplied by the cross-sectional area of the beamlet and the duration of exposure, and possibly a redundancy factor where multiple beamlets subsequently contribute to one pixel. For example, in the case that a pattern contains a feature to be exposed at a large dose, for the MBW it is required to increase the value of the maximum exposure by reducing the scanning velocity accordingly, even if the overall pattern density is low in the exposed pattern; hence this may severely limit the productivity.
In contrast, the VSB writer can locally deliver a very high dose by simply extending the blanker time with the beam vector-scanned across the surface (not addressing areas where there is no pattern) for the respective feature only. This aspect of VSB writers has been exploited for correcting sizes of certain features through adjusting the exposure dose of the feature—so-called “overdosing” (or “underdosing”, depending on whether the dose of the relevant feature is raised or reduced). While from the viewpoint of lithography, overdosing (or underdosing) of features has little to no impact on the quality of exposure process, the state-of-the-art industrial user is used to VSB-based techniques where it is common to work with significant dose adjustments to correct for processing-related effects such as etching/erosion or pattern density related loading effects, wherein the specific amount of overdosing corresponds to the desired contraction or expansion of feature size, respectively. This may result in patterns where different pattern components have widely varying exposure dose levels, and in extreme cases, such dose adjustments can range from −40% underdosing up to +300% overdosing or more, relative to the so-called isofocal dose; where the term “isofocal dose” represents the value of exposure dose where a position of a contour between an area exposed at such exposure dose value and an area of zero exposure is independent of the amount of blur used in the respective lithography apparatus.
For a MBW, however, overdosing/underdosing techniques are disadvantageous for several reasons. For instance, in a worst-case scenario, overdosing may reduce the throughput of a multi-beam writer by a factor of up to factor 2 or 3, due to the required prolongation of the exposure time, which is determined by the maximum of the assigned dose in the pattern. Furthermore, the added current may lead to increased resist heating, which may influence writing accuracy drastically, e.g. due to target deformation. Since prior to exposure, it is typically required to represent dose assignments after PEC (proximity effect correction) by using a limited number of discrete gray levels, overdosing will imply a larger dose range, and consequently a larger dose step between gray levels (assuming the gray scale is limited to employ a fixed number of gray levels); this may cause a negative impact on the attainable placement accuracy in the pattern to be exposed.
Another issue arising from dose-based feature-size manipulation with regard to a MBW is associated with the fact that the blur of the single beamlets in a MBW system is generally considerably smaller than the blur in a VSB writer. Therefore, the gradient of dose near the edge of an exposure is higher in a MBW, and consequently the so-called “dose-slope” (i.e., the variation of position of an edge of a feature when incrementing the dose of the feature by a unit dose) is less steep; thus, the change of feature size as caused by a unit dose change is much smaller in a MBW than in a VSB writer. Therefore, the required change of dose may even be larger on a multibeam system than for a VSB tool to achieve the same effect. In an extreme case, underdosing may have the effect that for large targeted size reductions the assigned dose comes close to the dose-to-clear, which may eventually cause unwanted non-linear effects, edge roughness, or even pattern artifacts.
Yet another problem arises when strong over- or underdosing is performed in combination with the typical MBW writing mode using overlapping pixels. Namely, it was found that the change of CD by means of dosing depends on the location of the pattern relative to the physical grid. In particular, features whose edges coincide with the physical grid and features whose edges are relatively shifted by half the pitch of the physical grid are not resized equally by changing the dose. Thus, this writing-mode specific physical effect may also lead to a degradation of the CD uniformity.
Furthermore, the inventors noted that the dose-slope of a MBW system is often not constant across the beam-field, which would imply that a change of unit dose leads to a non-uniform change of feature size. This may eventually entail a degradation of critical dimension uniformity for all dose-settings other than the isofocal dose. In particular, this degradation scales with the magnitude of deviation of dose from the isofocal dose.
In summary, current state-of-the-art dose techniques to manipulate feature-sizes may be problematic for MBWs with regard to loss of quality and/or throughput.
Therefore, it is an object of the present invention to find a way to modify a pattern to improve the distribution of the assigned dose associated with the pattern element of the pattern, such that the values of assigned dose are compatible with the writing methods of a MBW, and in particular the scanning stripe exposure within a charged-particle multi-beam writing apparatus.
This object is achieved by a method for re-calculating a pattern of the kind as mentioned above, with regard to a nominal dose which represents a predefined standard value of exposure dose to be exposed for pixels of a maximal exposure as specified for the scanning stripe exposure within the underlying charged-particle multi-beam writing apparatus, wherein a reshaped pattern is generated from the pattern by substituting at least one reshaped pattern element for a corresponding pattern element; such a reshaped pattern element is generated for a pattern element associated with an assigned dose deviating from the nominal dose, the pattern element is reshaped by
In other words, the invention applies an in-situ conversion to the pattern data and transforms those pattern elements that initially have deviant values of assigned doses to pattern elements which will be printable with the same dose as the rest of the pattern, while still delivering the intended dimension. This involves a size correction in accordance with a predefined dose slope for the pattern.
The invention allows to better exploit the benefits of a MBW with regard to increasing the productivity, in particular for cases where the dose assignment in the initial pattern to be exposed is larger than the exposure dose which is actually necessary. In fact it is not uncommon that a dose assignment is 2 or even 3 times larger than the “ideal dose” for a certain resist material. A typical value of the ideal dose is a dose-setting at or near the so-called isofocal dose, where “near” means with a deviation approximately within ±20%. The dose-to-clear of a resist, also referred to as threshold dose, is typically close to a 50% intensity of this ideal dose, as discussed further below. In the following, the term “nominal dose” is used for the “ideal dose”, which generally corresponds to a setting that optimizes the write performance in relation to either speed or quality, or a specific trade-off between them.
Moreover, the invention enables improving the lithography quality of patterns that have been assigned with a dose smaller than the ideal dose for a certain resist material, the dose for example 90% or 80% of the ideal exposure dose.
Further advantages of the invention are:
As one suitable choice for the nominal dose, this parameter may be chosen such that it represents the maximal exposure dose which is attainable for pixels during the scanning stripe exposure within said charged-particle multi-beam writing apparatus.
A very suitable choice of the nominal dose is as the double of the positive exposure dose (also denoted DDtC), which represents the minimal value of exposure dose which, when imparted to a pixel, is required to cause lithographic development of said pixel.
Another very suitable choice of the nominal dose is as the isofocal dose, which represents a value of exposure dose where a position of a contour between an area exposed at such exposure dose value and an area of zero exposition is independent of the amount of blur used during the scanning stripe exposure in the charged-particle multi-beam writing apparatus.
In an advantageous development of the invention, the step of determining a reshape distance is performed based on a predefined dose slope function which describes the reshape distance as a function of the value of the assigned dose relative to the nominal dose. This function may be taken as a linear function using a dose slope number, which in most cases of application gives a very good approximation to the actual dose slope relation. The dose slope function is determined in advance, for instance from experimental data and/or theoretical calculations (simulations).
In order to accelerate the reshaping method according to the invention, one suitable approach is to restrict a re-calculation of pattern elements to those whose assigned dose significantly deviate from the desired nominal dose. Thus, reshaping of a pattern element is done for (only) those pattern element which have an assigned dose deviating from the nominal dose by at least a predefined deviation factor relative to the nominal dose; wherein preferably said deviation is typically within a range of ±10%, but may be within ±20% for specific applications.
In one aspect of the invention wherein the boundary of a pattern element is composed of a set of boundary segments which together define a closed loop surrounding the interior, a suitable implementation of the step of forming a reshaped pattern element is based on an offsetting method. Thus this may include the steps of
In a variant aspect wherein the boundary of a pattern element is composed of a set of boundary segments which together define a closed loop surrounding the interior, the reshaping may be based on actually shifting the edges of the pattern elements, Thus, the step of forming a reshaped pattern element may include the steps of
In another aspect, which may be alternative or in combination with the previous aspects, reshaping of pattern elements may be done with regard to a pixel representation of the pattern. In this case, the step of forming a reshaped pattern element may include detecting the locations of edges in the pixel representation and shifting the locations of the edges thus detected by said reshape distance along respective directions perpendicular to the respective edges. Alternatively or in combination, the step of forming a reshaped pattern element may include a combination of grayscale dilation and grayscale erosion steps to change a contour of the pattern element in said pixel representation by said reshape distance. The two approaches may be combined, for instance by applying them to different subsets of the pattern elements.
One important special case of the invention relates to an implementation with regard to a writing process for the pattern to be written where the writing process generates aperture images on the target such that the aperture images of subsequent exposure steps are mutually overlapping, and the aperture images have a (common) nominal width which is a multiple (wherein multiple means by a factor >1, preferably an integer multiple >1) of the distance between pixel positions of neighboring aperture images generated on the target. In this context, the method may further have the additional step of generating, from the reshaped pattern, a pixel exposure pattern suitable to expose the desired pattern by said writing process through exposure of pixels on the target by means of said aperture images.
The invention is particularly suitable for the mentioned scanning stripe exposure method, wherein the exposure length, applied as an offset distance between subsequent exposure steps, is uniform, and the exposure length corresponds to a multiple of at least two, preferably integer multiple, of the spacing between neighboring beamlets along the direction of the exposure length in the structured beam, so as to have the plurality of beamlets expose consecutively different pixels whereas pixels in the exposure region are exposed by a sequence of beamlets during subsequent exposure steps.
In the following, in order to further demonstrate the present invention, illustrative and non-restrictive embodiments are discussed, as shown in the drawings, which schematically show:
The detailed discussion of exemplary embodiments of the invention given below discloses the basic concepts and further advantageous developments of the invention. It will be evident to the person skilled in the art to freely combine several or all of the embodiments discussed here as deemed suitable for a specific application of the invention. Throughout this disclosure, terms like “advantageous”, “exemplary”, “preferably” or “preferred” indicate elements or dimensions which are particularly suitable (but not essential) to the invention or an embodiment thereof, and may be modified wherever deemed suitable by the skilled person, except where expressly required. It will be appreciated that the invention is not restricted to the exemplary embodiments discussed in the following, which are given for illustrative purpose and merely present suitable implementations of the invention.
Lithographic Apparatus
An overview of a lithographic apparatus suitable to employ the preferred embodiment of the invention is shown in
The illumination system 3 comprises, for instance, an electron gun 7, an extraction system 8 as well as a condenser lens system 9. It should, however, be noted that in place of electrons, in general, other electrically charged particles can be used as well. Apart from electrons these can be, for instance, hydrogen ions or heavier ions, charged atom clusters, or charged molecules.
The extraction system 8 accelerates the particles to a defined energy of typically several keV, e.g. 5 keV. By means of a condenser lens system 9, the particles emitted from the source 7 are formed into a broad, substantially telecentric particle beam 50 serving as lithography beam lb. The lithography beam lb then irradiates a PD system 4 which comprises a number of plates with a plurality of openings (also referred to as apertures). The PD system 4 is held at a specific position in the path of the lithography beam lb, which thus irradiates the plurality of apertures and/or openings and is split into a number of beamlets.
Some of the apertures/openings are “switched on” or “open” so as to be transparent to the incident beam in the sense that they allow the portion of the beam that is transmitted through it, i.e. the beamlets 51, to reach the target; the other apertures/openings are “switched off” or “closed”, i.e. the corresponding beamlets 52 cannot reach the target, and thus effectively these apertures/openings are non-transparent (opaque) to the beam. Thus, the lithography beam lb is structured into a patterned beam pb, emerging from the PD system 4. The pattern of switched on apertures—the only portions of the PD system 4 which are transparent to the lithography beam lb—is chosen according to the pattern to be exposed on the substrate 16 covered with charged-particle sensitive resist 17. It has to be noted that the “switching on/off” of the apertures/openings is usually realized by a suitable type of deflection means provided in one of the plates of the PD system 4: “Switched off” beamlets 52 are deflected off their path (by sufficient albeit very small angles) so they cannot reach the target but are merely absorbed somewhere in the lithography apparatus, e.g. at an absorbing plate 11.
The pattern as represented by the patterned beam pb is then projected by means of an electro-magneto-optical projection system 5 onto the substrate 16 where the beam forms an image of the “switched-on” apertures and/or openings. The projection system 5 implements a demagnification of, for instance, 200:1 with two crossovers c1 and c2. The substrate 16 is, for instance, a 6″ mask blank or a silicon wafer covered with a particle sensitive resist layer 17. The substrate is held by a chuck 15 and positioned by a substrate stage 14 of the target station 6.
The information regarding the pattern to be exposed is supplied to the PD system 4 by the data path realized by means of an electronic pattern information processing system 18. Further details of the data path are given in the section “Data Path” below.
In the embodiment shown in
In the whole projection system 5, provisions are made to extensively compensate the lenses and or deflection means with respect to chromatic and geometric aberrations. As a means to shift the image laterally as a whole, i.e. along a direction perpendicular to the optical axis cw, deflection means 12a, 12b and 12c are provided in the condenser 3 and projection system 5. The deflection means may be realized as, for instance, a multipole electrode system which is either positioned near the source extraction system 8 or one of the crossovers, as shown in
The sectional detail of
The flat upper surface of AAP 20 forms a defined potential interface to the charged-particle condenser optics/illumination system 3. The AAP may, e.g. be made from a square or rectangular piece of a silicon wafer (approx. 1 mm thickness) 21 with a thinned center part 22. The plate may be covered by an electrically conductive protective layer 23 which will be particularly advantageous when using hydrogen or helium ions (like in U.S. Pat. No. 6,858,118). When using electrons or heavy ions (e.g. argon or xenon), the layer 23 may also be of silicon provided by the surface section of 21 and 22, respectively, so that there is no interface between layer 23 and the bulk parts 21, 22.
The AAP 20 is provided with a plurality of apertures 24 formed by openings traversing the thinned part 22. The apertures 24 are arranged in a predetermined arrangement within an aperture area provided in the thinned part 22, thus forming an aperture array 26. The arrangement of the apertures in the aperture array 26 may be, for instance, a staggered arrangement or a regular rectangular or square array (cf.
The DAP 30 is a plate provided with a plurality of openings 33, whose positions correspond to those of the apertures 24 in the AAP 20, and which are provided with electrodes 35, 38 configured for deflecting the individual beamlets passing through the openings 33 selectively from their respective paths. The DAP 30 can, for instance, be fabricated by post-processing a CMOS wafer with an ASIC circuitry. The DAP 30 is, for instance, made from a piece of a CMOS wafer having a square or rectangular shape and comprises a thicker part 31 forming a frame holding a center part 32 which has been thinned (but may be suitably thicker as compared to the thickness of the thinned part 22). The aperture openings 33 in the center part 32 are wider compared to 24 (by approx. 2 μm at each side for instance). CMOS electronics 34 is provided to control the electrodes 35, 38, which are provided by means of MEMS techniques. Adjacent to each opening 33, a “ground” electrode 35 and a deflection electrode 38 are provided. The ground electrodes 35 are electrically interconnected, connected to a common ground potential, and comprise a retrograde part 36 to prevent charging and an isolation section 37 in order to prevent unwanted shortcuts to the CMOS circuitry. The ground electrodes 35 may also be connected to those parts of the CMOS circuitry 34 which are at the same potential as the silicon bulk portions 31 and 32.
The deflection electrodes 38 are configured to be selectively applied an electrostatic potential; when such electrostatic potential is applied to an electrode 38, this will generate an electric field causing a deflection upon the corresponding beamlet, deflecting it off its nominal path. The electrodes 38 as well may have a retrograde section 39 in order to avoid charging. Each of the electrodes 38 is connected at its lower part to a respective contact site within the CMOS circuitry 34.
The height of the ground electrodes 35 is higher than the height of the deflection electrodes 38 in order to suppress cross-talk effects between the beamlets.
The arrangement of a PD system 4 with a DAP 30 shown in
The third plate 40 serving as FAP has a flat surface facing to the first lens part of the down-stream demagnifying charged-particle projection optics 5 and thus provides a defined potential interface to the first lens 10a of the projection optics. The thicker part 41 of FAP 40 is a square or rectangular frame made from a part of a silicon wafer, with a thinned center section 42. The FAP 40 is provided with a plurality of openings 43 which correspond to the openings 24, 33 of the AAP 20 and DAP 30 but are wider as compared to the latter.
The PD system 4, and in particular the first plate of it, the AAP 20, is illuminated by a broad charged particle beam 50 (herein, “broad” beam means that the beam is sufficiently wide to cover the entire area of the aperture array formed in the AAP), which is thus divided into many thousands of micrometer-sized beamlets 51 when transmitted through the apertures 24. The beamlets 51 will traverse the DAP and FAP unhindered.
As already mentioned, whenever a deflection electrode 38 is powered through the CMOS electronics, an electric field will be generated between the deflection electrode and the corresponding ground electrode, leading to a small but sufficient deflection of the respective beamlet 52 passing therethrough (
The reduction factor of the demagnifying charged-particle optics 5 is chosen suitably in view of the dimensions of the beamlets and their mutual distance in the PD device 4 and the desired dimensions of the structures at the target. This will allow for micrometer-sized beamlets at the PD system whereas nanometer-sized beamlets are projected onto the substrate.
The ensemble of (unaffected) beamlets 51 as formed by AAP is projected to the substrate with a predefined reduction factor R of the projection charged-particle optics. Thus, at the substrate a “beam array field” (BAF) is projected having widths BX=AX/R and BY=AY/R, respectively, where AX and AY denote the sizes of the aperture array field along the X and Y directions, respectively. The nominal width of a beamlet at the substrate (i.e. aperture image) is given by bX=aX/R and bY=aY/R, respectively, where aX and aY denote the sizes of the beamlet 51 as measured along the X and Y directions, respectively, at the level of the DAP 30. Thus, the size of a single aperture image formed on the target is bX×bY.
It is worthwhile to note that the individual beamlets 51, 52 depicted in
Writing a Pattern
Referring to
Thus, the pattern image pm (
While the substrate 16 is moved continuously, the same image element corresponding to a pattern pixel px on the target may be covered many times by the images of a sequence of apertures. Simultaneously, the pattern in the PD system is shifted, step by step, through the apertures of the PD system. Thus, considering one pixel at some location on the target, if all apertures are switched on when they cover that pixel, this will result in the maximum exposure dose level: a “white” shade corresponding to 100%. In addition to a “white” shade, it is possible to expose a pixel at the target according to a lower dose level (also dubbed ‘gray shade’) which would interpolate between the minimal (‘black’) and maximal (‘white’) exposure dose levels. A gray shade may, for instance, be realized by switching on only a subset of apertures that may be involved in writing one pixel; for example, 4 out of 16 apertures would give a gray level of 25%. Another approach is reducing the duration of unblanked exposure for the apertures involved. Thus, the exposure duration of one aperture image is controlled by a gray scale code, for example an integer number. The exposed aperture image is the manifestation of one of a given numbers of gray shades that correspond to zero and the maximum exposure duration and dose level. The gray scale usually defines a set of gray values, for instance 0, 1/(ny−1) . . . , i/(ny−1), . . . , 1 with ny being the number of gray values and i being an integer (“gray index”, 0≤i≤ny). Generally, however, the gray values need not be equidistant and form a non-decreasing sequence between 0 and 1.
The pitch between two neighboring exposure positions is denoted as e in the following. In general, the distance e can be different from the nominal width b of an aperture image. In the simplest case, b=e, which is illustrated in
It is worthwhile to note that with interlocking grids (o>1) it is possible to increase the number of gray shades by “dithering” while the dose distribution remains homogeneous. The basis for this is that the grey shades on any nominal grid are equal. This means that for the double interlocking grid the number of effective dose levels that can be realized is four times higher than for the non-interlocking grid. Generally speaking any oversampled exposure grid (i.e., o>1) consists of up to o2 nominal grids shifted by distances b/o in X and Y direction. Thus, the step from one dose level to the next can be divided into o sub-steps where the dose level of only one of these o grids is increased; this can be repeated for the other grids until all sub-grids expose the nominal level. As the skilled person will appreciate, the beam shape at the substrate is the convolution of the machine blur and the reduced aperture shape of the aperture plate. It is possible to obtain a homogeneous dose distribution on the substrate by setting the width b to a natural multiple of the exposure grid constant e; in other words, making o=b/e an integer. Otherwise the dose distribution may have minima and maxima with a periodicity of the exposure grid, by virtue of aliasing effects. A high number of gray shades allows better feature placement. Therefore increasing the gray levels is of relevance where the gray shades per pixel position are limited to a certain number.
The beamlets are moved over the distance of LG during the exposure of one set of image elements together with the target. In other words, all beamlets maintain a fixed position with regard to the surface of the substrate during the time interval T1. After moving the beamlets with the target along distance LG, the beamlets are relocated instantaneously (within a very short time) to start the exposure of the image elements of the next placement grid. After a full cycle through the positions p11 . . . p31 of a placement grid cycle, the sequence starts anew, with an additional longitudinal offset L=bNM parallel to the X direction (scanning direction). At the beginning and at the end of the stripe the exposure method may not produce a contiguous covering, so there may be a margin of length L that is not completely filled.
It is remarked that
The usable exposure time Tu is divided into g time slots, corresponding to the number of gray shades possible to address. One value for g would be g=16 (4 bit). The pixel exposure is activated according to the desired gray shade, which is the sum of used time slots within Tu. If the dose applied to one pixel within the time Tu is digitized into g gray levels, it is possible to reload a general blanking cell g times during Tu; each blanking cell in the blanking array receives its individual gray shade during the exposure period T1 (or more accurately, the usable time Tu).
Exposure of Features in Double and Quad Grids
Referring to
The line width can be made smaller or larger in very fine steps, which are smaller than the grid size, in this case the Quad Grid size 62. Reducing the line width can be achieved by lowering the dose level of the outermost exposure spots and/or omitting exposure spots (the latter when the reduction is at least about one half of an exposure spot size). Increasing the line width can be achieved by enhancing the dose level of the outermost exposure spots and/or, in particular when the maximum dose level has been reached, by adding an additional, preferably overlapping, exposure spot. The latter aspect is illustrated in
The intensity profiles of
Under realistic circumstances there are deviations of the local exposure dose with respect to the targeted exposure dose within the BAF. Furthermore a slight variation of beam blur over the exposure field is to be expected. This is illustrated in
As illustrated in
A correction of the position of edge features is possible by suitable adding of exposure dose near the edge to be shifted. For instance,
Data Path
The part of the processing system 18 of the writer tool (
The complete pattern image comprises a vast amount of image data, which is why for efficient computation of those data a high-speed data path that generates the pixel data to be exposed, preferably in real-time, will be suitable. The pattern to be exposed is typically described in a vector format, e.g. as a collection of geometries like rectangles, trapezoids or general polygons, which typically offers better data compaction and therefore reduces the requirements on data storage. The data path therefore consists of three major parts:
The data path starts upon being supplied a pattern PDATA to be exposed at step 160. In step 160, generally, the pattern PDATA to be exposed is split into a large number of small data chunks, possibly with geometric overlaps. Corrections that can be applied in the vector domain (e.g. proximity effect correction) may be carried out to all chunks independently, possibly in parallel, and the resulting data is sorted and coded in a way to improve computation speed of the following steps. The output is a collection of chunks where all chunks contain a collection of geometries.
Stage 161: Rasterization RAST. The geometries of every chunk are converted into rasterized pixel graphics. In this step, each pixel is assigned a floating-point gray scale intensity depending on the geometric overlap of the corresponding surface of the raster-grid cell with the pattern to be exposed, i.e. the entity of all associated chunks. In stat-of-the-art solutions THIS floating-point intensity represents the ideal physical exposure dose to be delivered onto the target at the respective pixel location. In more detail, every pixel that is completely inside a geometry is assigned the maximal intensity, whereas the intensity of pixels that crosses an edge of a geometry is weighted by the fraction of the area of the pixel that is covered by the geometry. This method implies a linear relation between the area of the geometry and the total dose after the rasterization.
Stage 162: Pixel-to-beamlet assignment ASSIGN. In this step, given a particular write sequence, it is determined which pixel will be written by which beamlet.
Stage 163: Pixel based corrections CORR1. In this step, all corrections that can be applied in the pixel domain are performed. These corrections comprise compensation of deviations from a uniform current density of the beam 50 over the aperture field (as described above and in U.S. Pat. No. 9,495,499 of the applicant) and/or correction for individual defective beam deflectors in the DAP 30 (as in US 2015/0248993 A1). Pixel based corrections are realized by modifying the floating-point intensity of each individual pixel. This is being done with respect to the Pixel-to-beamlet assignment of Stage 162, which makes it possible to define and apply a compensation dose-factor q (or, equivalently a dose-shift s) for each pixel depending on by which beamlet it is written, and/or by which beamlets the neighboring pixels are written.
Stage 164: Quantization QUANT. The quantization process converts the possibly corrected, floating-point intensity of each pixel into a quantized (or equivalently ‘discrete’) gray level, given a predetermined gray value scale.
Stage 165: Further optional pixel based corrections CORR2 in the gray-level pixel data domain may be applied (not part of the present invention).
Stage 166: Pixel packaging, PPACK. The pixel image obtained from stage 164 is sorted according to the placement grid sequence and sent to a pixel buffer PBUF which is provided in the processing system 18 of the writer tool (
Dose-Guided Reshaping
The present invention pertains to a recalculation method for pattern data, which realizes a dose-related feature reshaping and involves a transformation of dose assignments in pattern data, in particular pattern vector data, into pattern size adjustments.
The principle of the reshaping method according to the invention is illustrated in
In the example of
The method according to the invention may be realized in the vector domain or pixel domain. In the data path shown in
Re-Shaping in the Vector Domain
The reshaping method can be performed in the vector domain, where the pattern elements are defined as geometrical shapes, e.g. polygons which include a number of edges. In particular, referring again to
From a geometrical point of view, the common practice of fine-tuning the feature-size by changing the dose setting corresponds to a geometric operation called (polygon) “offsetting”; another common term for this operation is “wave-front propagation”, as described e.g. in the PhD thesis of Stefan Huber “Computing Straight Skeletons and Motorcycle Graphs: Theory and Practice”, Univ. of Salzburg (Austria) June 2011, pp. 3-19. Polygon offsetting only involves local operations of growing/expanding (or shrinking/contracting) which are applied to the edges and vertices of the object (in contrast to a scaling operation applied to the entire polygon, which is a global multiplicative operation), and will in general also alter the aspect ratio of the geometric shape, in particular of rectangular shapes.
In this respect the following two algorithms are considered for implementation with MBW writers. The algorithms are explained in the following based on an exemplary F-shaped polygon. The start shape is shown in
In a first preferred embodiment, illustrated in
This method of offsetting of polygons is an operation which is definite and unambiguous, and straightforward to implement. The angular bisectors ab1, ab2, . . . are parts of a construction which is called the “straight skeleton” of the polygon. One interesting feature of this method is that the straight skeletons of the original and resulting polygons t0, st1, st2 only comprises straight-line segments. In other words, this method does not produce curvilinear segments provided the original shape did not contain curvilinear segments. This characteristic is important where it is desired to reduce complexity, all the more in view of the fact that common vector formats in the semiconductor field do not support curvilinear segments. The fastest implementation of this offsetting method was demonstrated to have only O(n log n) time complexity and O(n) memory scaling (PhD thesis of Stefan Huber, op.cit.), where n denotes the number of polygon vertices.
In a second preferred embodiment, reshaping is done according to a procedure where, rather than moving vertices along angular bisectors of incident edges, the edges are moved by a given offset distance d3, and vortices are defined to join the edges as follows. Where subsequent shifted edges meet, the vortex is placed at the intersection. In the case that no intersection occurs, an interior (or exterior) offset curve is interpolated. This distinction is always possible where the amount of the offset distance d3 is not too large, namely, smaller than half of the smallest width (or “thickness”) of the segment portions of the underlying polygon.
Conversely, an expansion, e.g. by an offset distance d4 outwards as shown in
This method is closely related to Voronoi diagrams (for one implementation see P. Palfrader & M. Held in Computer-Aided Design & Applications, 12(4), 2015, 414-424, available through http://dx.doi.org/10.1080/16864360.2014.997637). While implementations to realize such transformations are available, with complexity in time and memory comparable to the skeleton method previously described, the fact that this method leads to curvilinear segments may be problematic when dealing with vector formats that do not support such segments. One way of simplifying this kind of situation is by approximating curvilinear segments by a discrete approximation of the curvilinear segment, such as a number of linear segments spanned by auxiliary vortices aux1, aux2 which are interpolated between the end vortices ev10, ev12 of the respective shifted edges u01, u12 as illustrated in
Note that the methods illustrated in
Reshaping in the Pixel Domain
In another embodiment of the invention, the shape corrections are performed in the pixel domain. Pixel domain corrections are advantageous in particular if the same shape correction is to be applied to all pattern elements that lie within the beam area, and a distinction of different pattern elements with respect to individual shape correction factors is not needed. One simple way to realize the reshaping based on pixel data is to first perform edge detection to transform back the pixel data to vector data, using a well-known edge-detection algorithm, then reshape the vector data as described above and re-rasterize. Another possibility is to reshape directly in the pixel domain, which may be computationally advantageous. Pixel-based size adjustments can be performed, for instance, using grayscale morphological image processing, a well-established tool-kit which provides similar functionality to the vector operations above in the pixel domain (see e.g. Shih, Frank Y. Image processing and mathematical morphology: fundamentals and applications. CRC press, 2009, pp. 28-30). Of particular interest are the morphological operations dilation ⊕ and erosion ⊖. For a grayscale image f(x) (here, the rasterized pattern) in an image domain (or grid) Ω and a structuring function b(x), they are defined by
In particular, for a structuring element Bk that is 2k+1 pixels wide orthogonal to the edge direction (e.g. a 2k+1 pixel wide square or 2k+1 pixel wide circle centered at 0), using a flat structuring function
generates an edge shift of k pixels to the outside by dilation, obtaining fk=f⊕bk. Likewise, an edge shift of k pixels to the inside can be generated by erosion to get f−k=f⊖bk. Note that computationally the area where the maximum/minimum in the expressions for f⊕bk or f⊖b is calculated is restricted (e.g. to a box where bk(x)≠−∞).
An example is given in
To obtain sub-pixel edge shifts, a customized approach has to be used. To dilate a grayscale image f (assuming it has a normalized nominal dose of 1) by a fraction 0<q<1 of a pixel, take a 3×3 pixel square B3 and use
where bg is the dose background due to proximity effect. To perform an arbitrary size change k+q for integer k, 0<q<1, first a full-pixel dilation or erosion operation is performed, so as to obtain an intermediate image fk, followed by a fractional dilation, obtaining fk+q=(fk)q. An example is given in
Since the necessary size corrections (based on the assigned doses) are generally given in the vector domain, they have to be translated to pixel-based maps prior to resizing (e.g. by storing a size assignment scalar of a pixel during rasterization).
Reshaping Based on Position on the Target
In a variant, the reshaping may be performed based on the position of the respective pattern element on the target.
In the case that a pattern element extends from one area to another, the reshaped pattern element is smoothed at the transition between areas, or an averaged value of the areas is used for the pattern element.
In another variant, the reshaping may be performed based on the position of the respective pattern element in the beam field, i.e. the location within the structured beam. In this case areas are defined within the beam field, in an analogous manner as with the method described above with
Time-Dependent Reshaping
Number | Date | Country | Kind |
---|---|---|---|
17187922 | Aug 2017 | EP | regional |
This application claims priority to European Application No. 17187922.4 filed on Aug. 25, 2017, and U.S. Provisional Application No. 62/551,169 filed on Aug. 28, 2017, the disclosures of which are hereby incorporated by reference in their entireties.
Number | Name | Date | Kind |
---|---|---|---|
1033741 | Sims | Jul 1912 | A |
1420104 | Howe et al. | Jun 1922 | A |
1903005 | McCuen | Mar 1933 | A |
2187427 | Middleton | Jan 1940 | A |
2820109 | Dewitz | Jan 1958 | A |
2920104 | Brooks et al. | Jan 1960 | A |
3949265 | Holl | Apr 1976 | A |
4467211 | Smith | Aug 1984 | A |
4735881 | Kobayashi et al. | Apr 1988 | A |
4899060 | Lischke | Feb 1990 | A |
5103101 | Berglund et al. | Apr 1992 | A |
5189306 | Frei | Feb 1993 | A |
5260579 | Yasuda et al. | Nov 1993 | A |
5369282 | Arai et al. | Nov 1994 | A |
5393987 | Abboud et al. | Feb 1995 | A |
5399872 | Yasuda et al. | Mar 1995 | A |
5533170 | Teitzel et al. | Jul 1996 | A |
5814423 | Maruyama et al. | Sep 1998 | A |
5841145 | Satoh et al. | Nov 1998 | A |
5847959 | Veneklasen et al. | Dec 1998 | A |
5857815 | Bailey et al. | Jan 1999 | A |
5866300 | Satoh et al. | Feb 1999 | A |
5876902 | Veneklasen | Mar 1999 | A |
5933211 | Nakasugi et al. | Aug 1999 | A |
6014200 | Sogard et al. | Jan 2000 | A |
6043496 | Tennant | Mar 2000 | A |
6049085 | Ema | Apr 2000 | A |
6107636 | Muraki | Aug 2000 | A |
6111932 | Dinsmore | Aug 2000 | A |
6137113 | Muraki | Oct 2000 | A |
6225637 | Terashima et al. | May 2001 | B1 |
6229595 | McKinley | May 2001 | B1 |
6252339 | Kendall | Jun 2001 | B1 |
6258511 | Okino et al. | Jul 2001 | B1 |
6280798 | Ring et al. | Aug 2001 | B1 |
6333138 | Higashikawa et al. | Dec 2001 | B1 |
6472673 | Chalupka et al. | Oct 2002 | B1 |
6473237 | Mei | Oct 2002 | B2 |
6552353 | Muraki et al. | Apr 2003 | B1 |
6617587 | Parker | Sep 2003 | B2 |
6768123 | Giering | Jul 2004 | B2 |
6768125 | Platzgummer et al. | Jul 2004 | B2 |
6829054 | Stanke et al. | Dec 2004 | B2 |
6835937 | Muraki et al. | Dec 2004 | B1 |
6858118 | Platzgummer et al. | Feb 2005 | B2 |
6897454 | Sasaki et al. | May 2005 | B2 |
6965153 | Ono et al. | Nov 2005 | B1 |
7084411 | Lammer-Pachlinger et al. | Aug 2006 | B2 |
7124660 | Chiang | Oct 2006 | B2 |
7129024 | Ki | Oct 2006 | B2 |
7199373 | Stengl et al. | Apr 2007 | B2 |
7201213 | Leeson | Apr 2007 | B2 |
7214951 | Stengl et al. | May 2007 | B2 |
7276714 | Platzgummer et al. | Oct 2007 | B2 |
7368738 | Platzgummer et al. | May 2008 | B2 |
7446601 | LeChevalier | Nov 2008 | B2 |
7459247 | Bijnen et al. | Dec 2008 | B2 |
7671687 | LeChevalier | Mar 2010 | B2 |
7683551 | Miyamoto et al. | Mar 2010 | B2 |
7687783 | Platzgummer et al. | Mar 2010 | B2 |
7710634 | Sandstrom | May 2010 | B2 |
7714298 | Platzgummer et al. | May 2010 | B2 |
7741620 | Doering et al. | Jun 2010 | B2 |
7772574 | Stengl et al. | Aug 2010 | B2 |
7777201 | Fragner et al. | Aug 2010 | B2 |
7781748 | Platzgummer et al. | Aug 2010 | B2 |
7823081 | Sato et al. | Oct 2010 | B2 |
8057972 | Heinrich et al. | Nov 2011 | B2 |
8115183 | Platzgummer et al. | Feb 2012 | B2 |
8178856 | Nakayamada et al. | May 2012 | B2 |
8183543 | Platzgummer et al. | May 2012 | B2 |
8198601 | Platzgummer et al. | Jun 2012 | B2 |
8222621 | Fragner et al. | Jul 2012 | B2 |
8227768 | Smick et al. | Jul 2012 | B2 |
8257888 | Sczyrba et al. | Sep 2012 | B2 |
8258488 | Platzgummer et al. | Sep 2012 | B2 |
8294117 | Kruit et al. | Oct 2012 | B2 |
8304749 | Platzgummer et al. | Nov 2012 | B2 |
8378320 | Platzgummer | Feb 2013 | B2 |
8502174 | Wieland | Aug 2013 | B2 |
8531648 | Jager et al. | Sep 2013 | B2 |
8546767 | Platzgummer et al. | Oct 2013 | B2 |
8563942 | Platzgummer | Oct 2013 | B2 |
8598544 | Van De Peut et al. | Dec 2013 | B2 |
8736170 | Liu et al. | May 2014 | B1 |
8859983 | Wieland | Oct 2014 | B2 |
9053906 | Platzgummer | Jun 2015 | B2 |
9093201 | Platzgummer et al. | Jul 2015 | B2 |
9099277 | Platzgummer | Aug 2015 | B2 |
9184026 | Wieland | Nov 2015 | B2 |
9188874 | Johnson | Nov 2015 | B1 |
9269543 | Reiter et al. | Feb 2016 | B2 |
9335638 | Jager et al. | May 2016 | B2 |
9373482 | Platzgummer | Jun 2016 | B2 |
9443699 | Platzgummer et al. | Sep 2016 | B2 |
9495499 | Platzgummer et al. | Nov 2016 | B2 |
9520268 | Platzgummer | Dec 2016 | B2 |
9568907 | Platzgummer et al. | Feb 2017 | B2 |
9653263 | Platzgummer et al. | May 2017 | B2 |
9691589 | Van De Peut et al. | Jun 2017 | B2 |
9799487 | Platzgummer | Oct 2017 | B2 |
9978562 | Van De Peut et al. | May 2018 | B2 |
20010028038 | Hamaguchi et al. | Oct 2001 | A1 |
20020021426 | Mei et al. | Feb 2002 | A1 |
20020148978 | Innes et al. | Oct 2002 | A1 |
20030085360 | Parker et al. | May 2003 | A1 |
20030106230 | Hennessey | Jun 2003 | A1 |
20030155534 | Platzgummer et al. | Aug 2003 | A1 |
20030160980 | Olsson et al. | Aug 2003 | A1 |
20040058536 | Ki | Mar 2004 | A1 |
20040119021 | Parker et al. | Jun 2004 | A1 |
20040157407 | Qin-Yi et al. | Aug 2004 | A1 |
20040169147 | Ono et al. | Sep 2004 | A1 |
20050001178 | Parker et al. | Jan 2005 | A1 |
20050063510 | Hieronimi et al. | Mar 2005 | A1 |
20050072941 | Tanimoto et al. | Apr 2005 | A1 |
20050104013 | Stengl et al. | May 2005 | A1 |
20050242302 | Platzgummer et al. | Nov 2005 | A1 |
20050242303 | Platzgummer | Nov 2005 | A1 |
20060060775 | Sakakibara et al. | Mar 2006 | A1 |
20060076509 | Okino et al. | Apr 2006 | A1 |
20060169925 | Miyajima et al. | Aug 2006 | A1 |
20070138374 | Nishibashi et al. | Jun 2007 | A1 |
20070178407 | Hatakeyama et al. | Aug 2007 | A1 |
20070279768 | Shibazaki et al. | Dec 2007 | A1 |
20080024745 | Baselmans et al. | Jan 2008 | A1 |
20080080782 | Olsson et al. | Apr 2008 | A1 |
20080099693 | Platzgummer et al. | May 2008 | A1 |
20080105827 | Tamamushi | May 2008 | A1 |
20080128638 | Doering et al. | Jun 2008 | A1 |
20080142728 | Smick et al. | Jun 2008 | A1 |
20080198352 | Kugler et al. | Aug 2008 | A1 |
20080203317 | Platzgummer et al. | Aug 2008 | A1 |
20080212052 | Wagner et al. | Sep 2008 | A1 |
20080237460 | Fragner et al. | Oct 2008 | A1 |
20080257096 | Zhu et al. | Oct 2008 | A1 |
20080260283 | Ivansen | Oct 2008 | A1 |
20080283767 | Platzgummer | Nov 2008 | A1 |
20080299490 | Takekoshi | Dec 2008 | A1 |
20090032700 | Park et al. | Feb 2009 | A1 |
20090101816 | Noji et al. | Apr 2009 | A1 |
20090168043 | Lee | Jul 2009 | A1 |
20090200495 | Platzgummer et al. | Aug 2009 | A1 |
20090249266 | Pierrat | Oct 2009 | A1 |
20090256075 | Kemen et al. | Oct 2009 | A1 |
20090321631 | Smick et al. | Dec 2009 | A1 |
20100124722 | Fragner et al. | May 2010 | A1 |
20100127185 | Fragner et al. | May 2010 | A1 |
20100127431 | Sandstrom | May 2010 | A1 |
20100178602 | Seto et al. | Jul 2010 | A1 |
20100187434 | Platzgummer et al. | Jul 2010 | A1 |
20100288938 | Platzgummer | Nov 2010 | A1 |
20110053087 | Nielsen et al. | Mar 2011 | A1 |
20110073782 | Wieland | Mar 2011 | A1 |
20110121208 | Nakayamada et al. | May 2011 | A1 |
20110204253 | Platzgummer et al. | Aug 2011 | A1 |
20110226968 | Platzgummer | Sep 2011 | A1 |
20120001097 | Yashima et al. | Jan 2012 | A1 |
20120007002 | Nakayamada et al. | Jan 2012 | A1 |
20120076269 | Roberts et al. | Mar 2012 | A1 |
20120085940 | Matsumoto | Apr 2012 | A1 |
20120151428 | Tanaka et al. | Jun 2012 | A1 |
20120211674 | Kato | Aug 2012 | A1 |
20120286169 | Van de Peut et al. | Nov 2012 | A1 |
20120286170 | Van de Peut et al. | Nov 2012 | A1 |
20120288787 | Choi et al. | Nov 2012 | A1 |
20120329289 | Fujimura et al. | Dec 2012 | A1 |
20130070222 | Fujimura | Mar 2013 | A1 |
20130120724 | Wieland et al. | May 2013 | A1 |
20130128247 | Khuat et al. | May 2013 | A1 |
20130157198 | Yoshikawa et al. | Jun 2013 | A1 |
20130164684 | Yamanaka | Jun 2013 | A1 |
20130198697 | Hotzel et al. | Aug 2013 | A1 |
20130201468 | Manakli | Aug 2013 | A1 |
20130252145 | Matsumoto et al. | Sep 2013 | A1 |
20130253688 | Matsumoto et al. | Sep 2013 | A1 |
20140042334 | Wieland | Feb 2014 | A1 |
20140158916 | Fujimura | Jun 2014 | A1 |
20140197327 | Platzgummer | Jul 2014 | A1 |
20140240732 | Tinnemans et al. | Aug 2014 | A1 |
20140264066 | Van De Peut et al. | Sep 2014 | A1 |
20140264086 | Van De Peut et al. | Sep 2014 | A1 |
20140322927 | Morita | Oct 2014 | A1 |
20140346369 | Matsumoto | Nov 2014 | A1 |
20150021493 | Platzgummer | Jan 2015 | A1 |
20150028230 | Platzgummer | Jan 2015 | A1 |
20150069260 | Platzgummer | Mar 2015 | A1 |
20150243480 | Yamada | Aug 2015 | A1 |
20150248993 | Reiter et al. | Sep 2015 | A1 |
20150311030 | Platzgummer et al. | Oct 2015 | A1 |
20150311031 | Platzgummer et al. | Oct 2015 | A1 |
20150347660 | Platzgummer et al. | Dec 2015 | A1 |
20160012170 | Platzgummer | Jan 2016 | A1 |
20160013019 | Platzgummer | Jan 2016 | A1 |
20160071684 | Platzgummer et al. | Mar 2016 | A1 |
20160276131 | Platzgummer | Sep 2016 | A1 |
20160276132 | Platzgummer | Sep 2016 | A1 |
20160336147 | Platzgummer | Nov 2016 | A1 |
20170357153 | Platzgummer | Dec 2017 | A1 |
20180218879 | Platzgummer et al. | Aug 2018 | A1 |
Number | Date | Country |
---|---|---|
202204836 | Apr 2012 | CN |
0178156 | Apr 1986 | EP |
0928012 | Jul 1999 | EP |
1033741 | Sep 2000 | EP |
1993118 | Nov 2008 | EP |
2019415 | Jan 2009 | EP |
2187427 | May 2010 | EP |
2190003 | May 2010 | EP |
2214194 | Aug 2010 | EP |
2312609 | Apr 2011 | EP |
2317535 | May 2011 | EP |
2363875 | Sep 2011 | EP |
2950325 | Dec 2015 | EP |
2993684 | Mar 2016 | EP |
3037878 | Jun 2016 | EP |
2349737 | Nov 2000 | GB |
08213301 | Aug 1996 | JP |
2006019436 | Jan 2006 | JP |
2006332289 | Dec 2006 | JP |
2007172862 | Jul 2007 | JP |
2010098275 | Apr 2010 | JP |
2006084298 | Aug 2006 | WO |
2008053140 | May 2008 | WO |
2009147202 | Dec 2009 | WO |
2012172913 | Dec 2012 | WO |
Entry |
---|
Extended European Search Report for European Application No. 18150797.1, Search completed Jun. 29, 2018, dated Jul. 9, 2018, 8 Pgs. |
Extended European Search Report for European Application No. 18154140.0, Search completed Aug. 16, 2018, dated Sep. 4, 2018, 5 Pgs. |
“Dither”, Wikipedia, Retrieved from https://en.wikipedia.org/w/index.php?title=Dither&oldid=762118152 on Oct. 5, 2017. |
“Ordered dithering”, Wikipedia, Retrieved from https://en.wikipedia.org/w/index.php?title=Ordered_dithering&oldid=759840417 on Oct. 5, 2017. |
Berry et al., “Programmable aperture plate for maskless high-throughput nanolithography”, J. Vac. Sci. Technol., Nov./Dec. 1997, vol. B15, No. 6, pp. 2382-2386. |
Borodovsky, “EUV, EBDW—ARF Replacement or Extension?”, KLA-Tencor Lithography User Forum, Feb. 21, 2010, San Jose, CA, USA, 21 pgs. |
Borodovsky, “MPProcessing for MPProcessors”, SEMATECH Maskless Lithography and Multibeam Mask Writer Workshop, May 10, 2010, New York, NY, USA, 35 pgs. |
Disclosed Anonymously, “Multi-tone rasterization, dual pass scan, data path and cell based vector format”, IPCOM000183472D, printed from ip.com PriorArtDatabase, published May 22, 2009, 108 pgs. |
Hinterberger, “Ion optics with electrostatic lenses”, University of Bonn, Germany, 2006, 18 pgs. |
Huber et al., “Computing Straight Skeletons and Motorcycle Graphs: Theory and Practice”, Thesis, Univ. of Salzburg (Austria) Jun. 2011, 134 pgs. |
Kapl et al., “Characterization of CMOS programmable multi-beam blanking arrays as used for programmable multi-beam projection lithography and resistless nanopatterning”, Journal of Micromechanics and Microengineering, vol. 21, Mar. 24, 2011, pp. 1-8. |
Kim et al., “Binary-encounter-dipole model for electron-impact ionization”, Phys. Rev. A, Nov. 1994, 50, 3954. |
Li et al., “Through-Silicon Interposer Technology for Heterogeneous Integration”, Future Fab Intl., Issue 45 (Apr. 25, 2013), 6 pgs. |
Palfrader et al., “Computing Mitered Offset Curves Based on Straight Skeletons”, Computer-Aided Design & Applications, vol. 12, No. 4, Feb. 11, 2015, pp. 414-424. |
Paraskevopoulos et al., “Scalable (24—140 Gbps) optical data link, well adapted for future maskless lithography applications”, Proc. SPIE vol. 7271, 2009, 11 pgs. |
Platzgummer et al., “eMET—50keV electron Mask Exposure Tool Development based on proven multi-beam projection technology”, Proc. of SPIE, 2010, vol. 7823, pp. 782308-1-782308-12. |
Platzgummer et al., “eMET POC: Realization of a proof-of-concept 50 keV electron multibeam Mask Exposure Tool”, Proc. of SPIE, 2011, vol. 8166, pp. 816622-1-816622-7. |
Shih, “Image processing and mathematical morphology: fundamentals and applications”, CRC Press, 2009, pp. 26-32. |
Vink et al., “Materials with a high secondary-electron yield for use in plasma displays”, Applied Physics Letters, Mar. 25, 2002, vol. 80, No. 12, pp. 2216-2218. |
Wheeler et al., “Use of Electron Beams in VLSI”, G.E.C. Journal of Science and Technology, General Electric Company. Wembley, Middlesex, GB, vol. 48, No. 2, Jan. 1, 1982, pp. 103-107, XP000820522. |
Zhang et al., “Integrated Multi-Electron-Beam Blanker Array for Sub-10-nm Electron Beam Induced Deposition”, J. Vac. Sci. Technol., Nov./Dec. 2006, vol. B24, No. 6, pp. 2857-2860. |
European Search Report for Application 08450077.6, report dated Jan. 29, 2010, 2 pgs. |
European Search Report for Application 09450211.9-1226, report dated Sep. 14, 2010; 4 pgs. |
European Search Report for application 09450212.7, report dated Sep. 28, 2010, 9 pgs. |
European Search Report for Application 141501197.7, report dated Jun. 6, 2014, 2 pgs. |
European Search Report for Application 14165967, report dated Oct. 30, 2014, 2 pgs. |
European Search Report for Application 14165970, report dated Jun. 18, 2014, 2 pgs. |
European Search Report for Application 14170611, report dated Nov. 4, 2014, 3 pgs. |
European Search Report for Application 14176563, report dated Jan. 14, 2015, 2 pgs. |
European Search Report for Application 14177851; report dated Oct. 16, 2014; 1 page. |
European Search Report for Application 14199183, report dated Jun. 19, 2015, 2 pgs. |
European Search Report for Application 15159397.7, report dated Sep. 28, 2015, 7 pgs. |
European Search Report for Application 15159617.8, report dated Oct. 19, 2015, 3 pgs. |
European Search Report for Application 15164770, report dated Sep. 18, 2015; 2 pgs. |
European Search Report for Application 15164772, report dated Sep. 11, 2015, 2 pgs. |
European Search Report for Application 15169632, report dated Oct. 20, 2015, 3 pgs. |
European Search Report for Application 15171348, report dated Oct. 30, 2015, 2 pgs. |
European Search Report for Application 14176645, report dated Dec. 1, 2014, 1 pg. |
European Search Report for Application 16174185, report dated Dec. 6, 2016, 2 pgs. |
European Search Report for Application 10450070.7, report dated May 7, 2012, 13 pgs. |
European Search Report for Application 16160622, report dated Jul. 21, 2016, 3 pgs. |
European Search Report for Application 16160621, report dated Oct. 5, 2016, 3 pgs. |
European Search Report for Application 16169216.5, report dated Sep. 21, 2016, 12 pgs. |
European Search Report for Application 17153506, report dated Oct. 5, 2017, 2 pgs. |
European Search Report for Application 17187922.4, report dated Feb. 21, 2018, 7 pgs. |
European Search Report for Application 17191553.1, report dated Mar. 22, 2018, 5 pgs. |
Number | Date | Country | |
---|---|---|---|
20190066976 A1 | Feb 2019 | US |
Number | Date | Country | |
---|---|---|---|
62551169 | Aug 2017 | US |