The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs.
For example, as integrated circuit (IC) technologies progress towards smaller technology nodes, multi-gate metal-oxide-semiconductor field effect transistor (multi-gate MOSFET, or multi-gate devices) have been introduced to improve gate control by increasing gate-channel coupling, reducing off-state current, and reducing short-channel effects (SCEs). The three-dimensional structure of the multi-gate devices, allows them to be aggressively scaled while maintaining gate control and mitigating SCEs. However, even with the introduction of multi-gate devices, aggressive scaling down of IC dimensions has resulted in spacing challenges between gate structures and source/drain features, the contacts thereto, and the metallization lines connecting to said contacts. Device performance can be affected by these arrangements including affecting resistance of the devices. While existing interconnect structures are generally adequate for their intended purposes, they are not satisfactory in all aspects.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion. It is also emphasized that the drawings appended illustrate only typical embodiments of this invention and are therefore not to be considered limiting in scope, for the invention may apply equally well to other embodiments.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Further, when a number or a range of numbers is described with “about,” “approximate,” and the like, the term is intended to encompass numbers that are within a reasonable range considering variations that inherently arise during manufacturing as understood by one of ordinary skill in the art. For example, the number or range of numbers encompasses a reasonable range including the number described, such as within +/−10% of the number described, based on known manufacturing tolerances associated with manufacturing a feature having a characteristic associated with the number. For example, a material layer having a thickness of “about 5 nm” can encompass a dimension range from 4.25 nm to 5.75 nm where manufacturing tolerances associated with depositing the material layer are known to be +/−15% by one of ordinary skill in the art. Still further, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
As integrated circuit (IC) technologies progress towards smaller technology nodes, multi-gate metal-oxide-semiconductor field effect transistor (multi-gate metal oxide semiconductor field effect transistors (MOSFET), or multi-gate devices) have been introduced to improve gate control by increasing gate-channel coupling, reducing off-state current, and reducing short-channel effects (SCEs). A multi-gate device generally refers to a device having a gate structure, or portion thereof, disposed over more than one side of a channel region. Fin-like field effect transistors (FinFETs) and multi-channel transistors are examples of multi-gate devices that have become popular and promising candidates for high performance and low leakage applications. A FinFET has an elevated channel wrapped by a gate on more than one side (for example, the gate wraps a top and sidewalls of a “fin” of semiconductor material extending from a substrate). A multi-channel transistor has a gate structure that can extend, partially or fully, around a channel region to provide access to the channel region on two or more sides. Because its gate structure surrounds the channel regions, such a transistor may also be referred to as a gate-all-around (GAA) transistor. The channel region may include nanowires, nanosheets, or other nanostructures and for that reasons, this transistor may also be referred to as a nanowire transistor or a nanosheet transistor. Any of these transistor structures may benefit from the present disclosure; while some illustrations are provided using FinFET structures aspects of the present disclosure apply equally to, for example, GAA or planar transistors.
The formation of interconnects to provide electrical connection to and among such transistors is not without challenges. For example, when providing an interconnection to a feature of a transistor, such as a gate, source or drain terminal, it is important to consider the conductive path between the transistor feature and the interconnect line that is connected thereto (e.g., signal or power lines). Interconnects include multiple levels to afford appropriate connection and routing of signals and one or more of these multiple levels are used to form the conductive path. In some implementations, a lower level of the interconnect is a device-level contact structure. A device-level contact structure is a conductive element formed on the transistor feature (e.g., source/drain). Above the device-level contact structure, a via may be provided that forms a conductive path to a first metal line, such as a power rail, formed on a first metallization layer, which is also referred to as a metal layer that comprises metal lines. The metal line provides a horizontal routing, and the via and device-level contact structure provide a routing that is, at least in part, vertical.
In some configurations, the conductive path from a metal line such as a power rail to the transistor feature (e.g., source/drain terminal) may be of a length that causes undesired increases in the resistance of the semiconductor device. The interconnect configuration (routing) can negatively affect both the contact resistance (Rc) and the sheet resistance (Rs). For example, a via between a contact structure and a power rail may be disposed are far away from the active region and the transistor feature to which the contact structure it is connected. Thus, the horizontal extension required of the contact structure from the transistor feature to via leads to high resistance (Rc and/or Rs) between the transistor feature and the metal line, e.g., power rail.
The present disclosure provides embodiments of a device having, and a process for forming, interconnect structures that in some implementations reduce the contribution of the interconnect structure to the resistance of the device. In some implementations, the interconnect structure includes a via that is non-linear in shape (e.g., wavy-shaped or undulating shape) in a plan view. The undulating shape via can improve Rc and Rs of the semiconductor device by reducing the length of path of the signal from the contact to the transistor terminal to the metal line and/or by increasing the surface area of contact between the via and under/overlying interconnect features. By increasing the landing area between the via and the underlying contact structure (e.g., device-level contact), contact resistance can be reduced. By increasing the contacting area between the via and the overlying metallization feature (e.g., metal line), contact resistance can be reduced. In some embodiments, providing the contact area without a barrier layer can also reduce resistance.
Also, in applying one or more of the aspects discussed in further detail below, the interconnect area can be increased without accompanying barrier layer thickness increases there by reducing contact resistance. Increasing the portion of the via that extends along the overlying metal layer (e.g., horizontally) can provide a sheet resistance reduction. The configuration can also, in some implementations, decrease the path length between the contact (e.g., source contact) and the power line, which can provide for a decrease in sheet resistance. By defining the shape of the via, a concave portion can be configured to further insulate the via from adjacent features such as other contact structures thereby possibly reducing leakage.
The various aspects of the present disclosure will now be described in more detail with reference to the figures. In that regard,
The semiconductor device 100 is illustrative of a via 106 that has a shape that may be referred to as curvy, serpentine, sinuous or undulating in the top view as shown in
The undulating-shape via structure 106 vertically interposes and interconnects a first interconnect layer, contact 104—a device-level contact, and an overlying second interconnect layer 108—a metal layer, which includes at least components 108A and 108B, which may be coplanar horizontally extending metal lines. In the illustrated embodiment, the contact 104 interfaces a portion of an active region 110 here illustrated as including a source/drain feature 120 over a plurality of fins 116 extending from a substrate 101. The contact 104 interfaces a plurality of source/drain features 120, for example a single contact 104 extending in the y-direction of
The MLI structure of exemplary device 100 includes features that may be considered middle-end of-line (MEOL), however the application of the undulating-shape via structure is not limited thereto. IC manufacturing process flow is typically divided into front-end-of-line (FEOL), MEOL, and back-end-of-line (BEOL). FEOL generally encompasses processes related to fabricating IC devices, such as transistors including active region 110. MEOL generally encompasses processes related to fabricating contacts to conductive features (or conductive regions) of the IC devices, such as contacts to the gate structures and/or the source/drain features, such as contact 104. Contacts fabricated during MEOL such as contact 104 can be referred to as device-level contacts, metal contacts, and/or local interconnects. BEOL generally encompasses processes related to fabricating a MLI structure that interconnects IC features fabricated by FEOL and MEOL (referred to herein as FEOL and MEOL features or structures, respectively), thereby enabling operation of the IC devices. In the MLI, multiple metal lines and vias can be formed, for example, typically referred to as metal-0 (M0), metal-1 (M1), and so forth each with interposing vias. In some embodiments, undulating-shape via structures 106 may be formed at various levels of the MLI.
As discussed above, the via structure 106 exhibits an undulating-shape (also referred to as serpentine or sinuous or simply non-linear, in its top view, which is illustrated by its shape with respect to imaginary line 114, which is extending in the x-direction of
In some implementations, the undulating shape of the via 106, an in particular the location of the convex and/or concave portions, is determined and provided such that convex portions are provided adjacent a contact structure 104 to which the via 106 has an electrical connection by interfacing in a landing region. In other words, the convex portion increases the landing region in comparison with a linear via structure. And the undulating shape of the via 106 is selected such that concave portions are provided adjacent structures (e.g., contact structures 104) to which the via is not interconnected, but electrically insulated from. In other words, the concave portions move the via 106 further from contact structures 104 that the via 106 is to be insulated from.
As illustrated by the dashed lines of
Various aspects of the device 100 and features thereof are discussed with respect to the embodiments illustrated in the following illustrations. The various aspects of the present disclosure will now be described in more detail with reference to methods for forming devices.
In that regard,
For illustration purposes, the figures including
Referring now to
In some embodiments, the substrate 301 includes silicon (Si). Alternatively or additionally, substrate 301 includes another elementary semiconductor, such as germanium (Ge); a compound semiconductor, such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor, such as silicon germanium (SiGe), GaAsP, AlInAs, AlGaAs, GalnAs, GaInP, and/or GaInAsP; or combinations thereof. In some implementations, the substrate 301 includes one or more group III-V materials, one or more group II-IV materials, or combinations thereof. In some implementations, the substrate 301 is a semiconductor-on-insulator substrate, such as a silicon-on-insulator (SOI) substrate, a silicon germanium-on-insulator (SGOI) substrate, or a germanium-on-insulator (GeOI) substrate. Semiconductor-on-insulator substrates can be fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods. While not explicitly shown, the substrate 301 can include various doped regions configured according to design requirements of the desired semiconductor device. The various doped regions can be formed directly on and/or in substrate 301 by doping with p-type dopants or n-type dopants to provide a p-well structure, an n-well structure, or combinations thereof. Example p-type dopants may include boron (B), boron difluoride (BF2), other p-type dopant, or combinations thereof. Example n-type dopants may include phosphorus (P), arsenic (As), other n-type dopant, or combinations thereof. An ion implantation process, a diffusion process, and/or other suitable doping process can be performed to form the various doped regions.
The fins 316, which extend lengthwise along the X direction of the active region 310 of
As shown in
Continuing to refer to
Gate spacers 303 may be formed on sidewalls of the gate structures 302. In some embodiments, the gate spacers 303 may include silicon carbonitride, silicon oxycarbide, silicon oxycarbonitride, silicon nitride, and/or other suitable materials and may be deposited using suitable processes such as CVD. In some implementations, the gate spacers 303 include any suitable low-k dielectric material.
Referring to
Continuing to refer to
It is noted that the cross-section along line B-B′ is drawn through the isolation region between active regions 310. In an embodiment, a cross-sectional cut parallel to B-B′ may be taken along an edge of an isolation region 318 and the active regions 310, in such a cross-sectional view an edge of the source/drain feature 320 that lies over isolation layer 318.
Referring to
Referring to
A gate electrode of the gate structure 302′ is then formed over the gate dielectric layer. The gate electrode may include multiple layers, such as work function layers, glue/barrier layers, and/or metal fill (or bulk) layers. A work function layer includes a conductive material tuned to have a desired work function (such as an n-type work function or a p-type work function), such as n-type work function materials and/or p-type work function materials. P-type work function materials include TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, other p-type work function material, or combinations thereof. N-type work function materials include Ti, Al, Ag, Mn, Zr, TiAl, TiAlC, TaC, TaCN, TaSiN, TaAl, TaAlC, TiAlN, other n-type work function material, or combinations thereof. A glue/barrier layer can include a material that promotes adhesion between adjacent layers, such as the work function layer and the metal fill layer, and/or a material that blocks and/or reduces diffusion between gate layers, such as such as the work function layer and the metal fill layer. For example, the glue/barrier layer includes metal (for example, W, Al, Ta, Ti, Ni, Cu, Co, other suitable metal, or combinations thereof), metal oxides, metal nitrides (for example, TiN), or combinations thereof. A metal fill layer can include a suitable conductive material, such as aluminum, copper, tungsten, ruthenium, titanium, a suitable metal, or a combination thereof. In some implementations, a metal capping layer such as aluminum, tungsten, cobalt, ruthenium, titanium, a suitable metal, combinations thereof, and/or other suitable materials is formed on the metal fill layer.
Referring to
Referring to
In particular, recessing of the dielectric layers 502 and ILD layer 312B forms trenches 602 exposing the source/drain feature 320. Concurrently or separately portions a trench may be formed over the gate structure 302′ However, such trenches may not be aligned with the trenches 602 to the source/drain features 320 and thus, the gate level contacts are not illustrated. The trenches 602 may expose the source/drain features 320 for both the source side and the drain side, or in other embodiments may expose only a single side (e.g., source or drain) of the transistors. As illustrated, the trenches 602 extend a distance over the active regions and also the isolation regions, thereby in some regions the trenches 602 expose the isolation layer 318 that extends between active regions (e.g., between fins).
Referring to
In some implementations, a silicide layer is formed from the deposited conductive material, e.g., a metal fill layer (and/or any barrier layer discussed below), and the source/drain feature 320. In some instances, the silicide layer may include titanium silicide, cobalt silicide, nickel silicide, tantalum silicide, tungsten silicide, and/or other silicide compositions including germano-silicides. Above the silicide, the deposited metal remains. Together the silicide and metal(s) are referred to as source/drain contacts 702. In some implementations, the source/drain contacts 702 include a barrier layer. The barrier layer may include a metal or a metal nitride, such as a titanium nitride, cobalt nitride, nickel, tungsten nitride. The metal fill layer may be cobalt. Other example materials for the contacts 702 may include tungsten, ruthenium, nickel, copper, and/or other suitable materials. In some embodiments, the metal fill layer may be deposited over the barrier layer.
In some implementations, the deposition of conductive material(s) to form the contacts 702 creates an interface layer between the conductive material and the dielectric layer 312. In an embodiment, the interface layer includes a composition comprising one or more elements from the dielectric layer(s) 312 such as CESL 312A and one or more elements from the conductive material of the contacts 702.
After depositing the conductive material(s), a CMP process may remove excessive materials and provide a planar surface such as illustrated in
Referring to
Referring to
In some implementations in forming the via opening of block 214, a masking layer is formed over the ILD layer 804. The masking layer may include a hard mask layer and/or a photosensitive layer. The masking layer is patterned to define an opening (e.g., defining the region 902) over the ILD layer 804 at the location of the desired via. While providing the masking element, an etching process removes the ILD 804 and etch stop layer 802 to form an opening or hole 902 over the drain-side device-level contact 702. The etching process may include an anisotropic etching process such as a dry etching process. In some embodiments, a tapered via hole is formed. That is when viewed in the cross-sectional view (e.g.,
Referring to
In some implementations in forming the via opening of block 216, a masking layer is formed over the ILD layer 804. The masking layer may include a hard mask layer and/or a photosensitive layer. The masking layer is then patterned to form an opening over the ILD layer 804 at the location of the desired via. While providing the masking element, an etching process removes the ILD 804 and etch stop layer 802 to form an opening 1002 over the drain-side device-level contact 702. The etching process may include an anisotropic etching process such as a dry etching process. In some implementations, block 216 is performed prior to block 214. In some implementations, block 216 is performed concurrently with block 214 (e.g., a single masking element defines both the opening 902 and the opening 1002).
When viewed from a cross-sectional plane such as provided in
The via opening of block 216 from a plan view is an opening extending as a strip such that its length in the x-direction is substantially greater than its length in the y-direction of
In some implementations, the undulating-shape of the opening is defined such that convex portions are provided adjacent/above the contact structure 702 to expose a greater portion of the contact structure 702. In some implementations, the concave portions of the undulating-shape are provided adjacent/above the contact structure 702 to which the via formed in the opening 1002 is not to be interconnected.
In some implementations, the undulating shape is defined by the masking element (e.g., resist and/or hardmask) having curvilinear sidewalls. In other implementations, the masking element may provide linear sidewalls defining convex and concave regions, which form curvilinear or curved sidewalls of the opening 1002 due to the etch biasing.
Referring to
In an embodiment, the metallization deposited to form the via 1102 and/or the via 1104 do not include a liner or adhesion layer. Thus, in some implementations, the via 1102 and/or via 1104 include a contiguous metallization from a first sidewall to a second sidewall. Exemplary embodiments of this configuration are illustrated in
In an embodiment, metallization may be formed in via opening 902 and via opening 1002 concurrently. Thus, in an embodiment, the drain-side via 1102 and source-side via 1104 may include the same metal material(s). In an embodiment, metallization may be formed in via opening 902 and via opening 1002 separately. Thus, in some embodiments, drain-side via 1102 and source-side via 1104 may include different materials. In some embodiments, one of the drain-side via 1102 and the source-side via 1104 includes additional layers (e.g., liner layers) and the other one of the drain-side via 1102 and the source-side via 1104 omits additional layers (e.g., lacks liner layer).
In an embodiment, the metallization formed in via opening 902 and/or via opening 1002 is formed by a bottom-up metal deposition process. In a further embodiment, the bottom-up metal deposition process does not include forming a barrier layer. In some implementations, the bottom-up metal deposition process includes at least partially or substantially filling the opening with a metal by introducing a bottom-up gas phase deposition process that deposits the metal on a conductive surface (e.g., contact 702). In some implementations, the dielectric layer surfaces (e.g., 312) are hindered from deposition (e.g., by creation of surface properties such as hydrophobic functional groups) such as by surface treatments.
In an embodiment, the metal of the vias 1102 and/or 1104 is tungsten (W). Other possible metals include ruthenium (Ru), cobalt (Co), aluminum (Al), iridium (Ir), iridium (Ir), rhodium (Rh), osmium (Os), palladium (Pd), platinum (Pt), nickel (Ni), copper (Cu), molybdenum (Mo), and other suitable conductive materials including alloys thereof. As indicated above, in some implementations, the vias 1102 and/or 1104 do not include a liner layer providing the possible metals to interface directly the contacts 702. After the deposition of the metal(s), a chemical mechanical polish (CMP) process may be performed to remove materials over the ILD 804.
The source-side via 1104 may be substantially similar to the source-side via 106 of the device 100 described above with reference to
Referring to
In an embodiment, the dielectric layers 1202, 1204 are patterned to provide for metal layer 1206. The metal layer 1206 may include metal lines extending in a first direction on the substrate, such as perpendicular to a direction of the gate structure and/or parallel the direction of the fin elements of the active region—for example, the x-direction of
Referring to
Referring to
Referring now to
The layout 1700 includes a plurality of active regions 310, a device-level contact 702 layer overlying the active regions 310, a via level over the device-level contact 702 layer where the via level includes vias 1102 and vias 1104, and a first metal layer 1206 over the via level. The first metal layer 1206 includes various metal lines extending in the x-direction. In an embodiment, the vias 1102 are drain-side vias providing connection to the device-level contact 702 that interface a drain feature of a transistor in the active region 310. The drain-side vias 1102 connect to a metal layer 1206_drain. In an embodiment, the vias 1104 are source-side vias providing connection to the device-level contact 702 that interface source features of a transistor in the active region 310. The source-side vias 1104 connect to a metal layer 1206_source.
Area 1702 of the layout 1700 illustrates that in an embodiment approximately four (4) or five (5) metallization lines, such as metal lines formed on metallization 1206, are formed. The metallization layers may be formed on a first metal layer (e.g., M0) planar with the metal layer 1206. Each of the metallization layers of area 1702 may be associated with a drain feature of a transistor in that the metallization layers are coupled to a drain feature of a transistor. In some implementations, no metallization 1206 lines associated with a source feature of a transistor are formed in area 1702. In other words, in some implementations 6 or 7 drain associated metal lines interpose source associated metal lines on the metal layer 1206.
In some implementations, the metal lines 1206_drain and the metal lines 1206_source are connected to a different voltage. In some implementations, including as shown in
Insulating regions interpose the active regions 310. Additionally, in some implementations, isolation features 1704 may be formed between segments of the device-level contact 702. The isolation features 1704 allow for a “cut” portion to provide a first portion of the device level contact coupled to a drain feature and a second portion of the device level contact coupled to a source feature. In some implementations, a contact 702 is formed extending in a first direction (e.g., y-direction), and the contact 702 is subsequently patterned to remove or “cut” a portion of the contact line 702 and the isolation feature 1704 is formed in the region where the contact is removed. In other words, a contact element 702 may not be simultaneously connected to a source-side via 1104 and a drain-side via 1102.
In some embodiments, a metal line 1206 extends over the isolation structures 1704. In some embodiments, a metal line 1206 extends over the ends of the device-level contact 702. In some implementations, such as illustrated by
As discussed herein, the undulating-shape via 1104 may be defined in different shapes. In an embodiment, the via 1104 extends from a first side of a first gate line 302 to an opposite side of a second gate line 302. One or more gate lines 302 may interpose the first and second gate line 302. In some embodiments, within a via 1104 there is a portion S that is a linear portion, the linear portion S has opposing, parallel linear sidewalls. In an embodiment, the linear portion S is substantially parallel with the metal line 1206. The via 1104 also includes convex portions labeled C (in particular, C1-C7). And the via 1104 includes concave portions labeled V (in particular, V1-V5). As discussed above, the convex portions (C) and the concave portions (V) can take various shapes including curved, semicircular, rectangular, round, oval, triangular, etc. In some implementations, the convex portions (C) and the concave portions (V) are relative to the linear portion S. As indicated above, the concave portions (V) may also be referred to as indentations. The convex portions (C) may also be referred to as protrusions.
Convex portions (C) may extend toward the active region 310 to which the via 1104 is electrically connected. In some embodiments, convex portions (C) extend toward the active region 310 to the extent that the convex portion (C) is vertically above the active region 310 (e.g., vertically above the fin 316 of the active region 310). In some implementations, the convex portions (C) may extend to further overlie the contact 702 to which it is electrically connected thereby increasing the landing area between the contact 702 and the via 1104. For example, C1, C2, C3 extend downward in the y-direction of
When several convex portions of the via 1104 extend from the same “side” of the via structure (e.g., C1, C2, C3 are located on the bottom side of the via 1104) and are each to interface the underlying respective contacts 702, the convex portions C may have different sizes. For example, in an embodiment, C1 is less than C2, C3 is less than C2. In some embodiments, C1 and C3 are less than C2 because C1 and C3 are neighboring a contact 702 that is insulated from the via 1104 including C1 and C3. If C1 and C3 were greater in distance, a leakage increase may be experienced. C2 convex portion can be larger due to no neighboring contact 702 to which it must be insulated, and thus can benefit from increased distance of the interface between the via 1104 and C2 and the underlying contact 702. In some embodiments, when concave portions and convex portions are alternatingly arranged such as illustrated by V1, V2, V3, V4, C4, C5, C6, C7, the convex portions C and/or the concave portions V are smaller (as measured in as a distance from a line collinear with the linear sidewalls S) than those in an embodiment where several concave portions or convex portions are consecutively arranged, for example as illustrated by C1, C2, C3, because the sizes of the alternatingly arranged convex and concave portions are confined by neighboring convex and concave portions.
In some embodiments, the size of the concave (V) portions of the via 1104 are limited such that the underlying contact 702, to which the via 1104 is connected, is not exposed. In some implementations, Rc increases if a portion of the contact 702 is vertically aligned outside of the via 1104. For example, V2, V4, V5 each illustrate that the convex portion is provided to a distance that the underlying contact 702 is below the via 1104 (i.e., the sidewall of the via 1104 convex portion V is substantially aligned above the end sidewall of the contact 702).
In some embodiments, the via 1104 has a shape outline defined in a top view that is provides a curved sidewall that has inflection points (see, e.g., P1). The inflection point P1 may be determined where a sidewall is extending in a first direction and transitioning to a second direction. In some embodiments, the convex part C has an apex point P2. The apex point P2 may be centered on the width of the contact 702, as measured from a top view. In other words, for the contact 702 having a width d in the top view, the apex point P2 may be positioned at d/2.
In an embodiment, the width of the metal line 1206_source (e.g., coupled to the source terminal of a transistor) has a width of ts as measured in the top view. ts may be between approximately 5 and approximately 200 nanometers (nm). In an embodiment, the width of the metal line 1206_drain (e.g., coupled to the drain terminal of a transistor) has a width of td as measured in the top view. td may be between approximately 5 and approximately 100 nanometers (nm). In an embodiment, td is less than ts, for example, at least 50% less than ts. In an embodiment, the source-side via 1104, which is an undulating-shaped via, has a width of d2 as measured in the top view. The width d2 may be between approximately 5 nm and approximately 100 nm. In an embodiment, the width d2 is less than the thickness ts. In an embodiment, the drain-side via 1102 is a rectangular-shaped via. In a further embodiment, the drain-side via 1102 is a rectangular -shaped via with substantially equal length sides. In an embodiment, the drain-side via 1102 has a width of d1 as measured from the top view. In some implementations, d1 is between approximately 5 nm and 80 nm. In an embodiment, the width d1 is substantially equal to the width td.
In an embodiment, the convex portions (C) have a distance from which they extend from an imaginary line collinear with the linear sidewall of portions S of the via 1104. This distance is exemplified as d3 for convex portion C3, d4 for convex portion C2, and d5 for convex portion C6. In an embodiment, d3 is greater than zero, d4 is greater than zero, and/or d5 is greater than zero. In a further embodiment, d3 is between approximately 0.1 nm and approximately 50 nm. In a further embodiment, d4 is between approximately 0.1 nm and approximately 100 nm. In a further embodiment, d5 is between approximately 0.1 nm and approximately 50 nm. In an embodiment, the ratio of d3 to d2 is between approximately 0.1 to 1 and 10 to 1.
In an embodiment, the concave portions (V) have a distance from which they extend from an imaginary line collinear with the linear sidewall of portions S of the via 1104. This distance is exemplified as d6 for concave portion V4. In an embodiment, d6 is greater than zero. In a further embodiment, d6 is between approximately 0.1 and 50 nm. In an embodiment, the ratio of d6 to d2 is between approximately 0.1 to 1 and 10 to 1.
As discussed above, the convex portions (C) and the concave portions (V) may be defined by a distance in the y-direction of the top view. In an embodiment, the concave portion (V) and/or convex portion C may extend a distance in the x-direction of greater than zero. In an exemplary embodiment, the convex portion C4 extends a distance of d7 in the y-direction. In an exemplary embodiment, the concave portion V1 extends a distance of d7 in the y-direction. In some implementations, d7 is between approximately 10 nm and approximately 300 nm. In an embodiment, the distance the convex portion C and/or the concave portion V extend in the x-direction may be greater than or equal to the distance between a gate 302 and an adjacent gate 302. In an embodiment, the convex portion C2 has a contour such that between an imaginary point collinear with the sidewall of S1 to an opposing imaginary point collinear with the sidewall of S1 is greater than 10 nm. In an embodiment, the convex portion C1 has a contour such that between an imaginary point collinear with the sidewall of S1 to an opposing imaginary point collinear with the sidewall of Si is between approximately 10 and approximately 300 nm. It is noted that these dimensions defining the curve of the sidewall may be selected in a design-phase and the device as fabricated provides a sidewall with an inflection point such as P1.
The disclosure of the present disclosure provides embodiments of semiconductor devices and methods of forming the same. The devices and methods provide for forming an interconnect, such as a via extending between a contact to a source/drain feature and an overlying metal line, having a non-linear shape outline. The undulating-shaped via may include convex and/or concave portions that provide protrusions from and indentations to, respectively, a linear shaped outline. The convex and/or concave portions may vary in shape and be defined by sidewalls of varying shape and degree of linearity. The undulating-shaped via may allow for reduction in resistance of a device by increasing interfaces with the under and overlying conductive features and/or provide further separation between the undulating-shaped via and neighboring conductive features to which it is desired to be insulated. It is noted that to the extent the above disclosure uses embodiments of providing a non-linear or an undulating-shaped via to provide a source-side connection to a transistor, this is exemplary only and the via may be applied in the interconnect to other transistor features in other embodiments within the scope of the disclosure.
In one embodiment, a method of manufacturing a semiconductor structure is provided. The method includes providing a substrate having a first source/drain feature formed over the substrate. A first contact structure is formed on the first source/drain feature. A dielectric layer is deposited over the first contact structure. The method continues to include etching an opening in the dielectric layer to define a via opening. And the opening in the dielectric layer has a non-linear shape in a plan view. The opening is filled with conductive material to form a via connected to the first contact structure. A metal line is formed above the via.
In an implementation of the method, providing the substrate includes epitaxially growing the first source/drain feature on a fin extending from the substrate. The method may further include forming the first contact by depositing a metal layer on the epitaxially grown first source/drain feature and forming a silicide between the epitaxially grown first source/drain feature and the metal layer. In an embodiment, depositing the dielectric layer includes depositing an etch stop layer and an interlayer dielectric (ILD) layer. In an embodiment, filling the opening with conductive material includes depositing a metal directly on the first contact structure by a bottom-up deposition process. In some implementations, filling the opening includes completely filling the opening with the metal. In an embodiment, etching the opening having the non-linear shape includes forming a shape having a protrusion over the first contact structure in a plan view. In a further embodiment, etching the opening having the non-linear shape includes forming the opening having the protrusion at a first region of the opening and an indentation at a second region of the opening. The first region may be a distance from the second region in the plan view.
In another embodiment, a method is provided. The method of manufacturing a semiconductor structure includes forming a first gate structure and a second gate structure each extending in a first direction. The method continues to providing a first source/drain feature between the first gate structure and a first side of the second gate structure and a second source/drain feature adjacent a second side of the second gate structure. A first contact structure extending in a second direction is provided. The first contact structure interfaces the first source/drain feature. A second contact structure is provided extending in the second direction. The second contact structure interfaces the second source/drain feature. The second direction is perpendicular the first direction. A via structure is formed extending in the first direction from an interface with the first contact structure to an interface with the second contact structure. The via structure is an undulating-shape in a top view.
In a further embodiment, forming the via structure includes depositing a dielectric layer over the first contact structure and the second contact structure, defining a masking element on the dielectric layer where the masking element defines the undulating-shape; etching an opening having the undulating-shape in the dielectric layer; and filling the undulating-shape opening with a metal. In an embodiment, filling the undulating-shape opening with the metal includes a bottom-up deposition process of the metal directly on the first contact structure and the second contact structure.
In an embodiment, the forming the via structure includes forming the via structure having a first convex portion over the first contact structure and a second convex portion over the second contact structure. In a further implementation, the first convex portion has a first distance to its apex in the first direction and the second convex portion has a second distance to its apex in the first direction. The second distance may be greater than the first distance. In an embodiment, forming the via structure includes forming the via structure having a concave portion between the first convex portion and the second convex portion. In an embodiment, the method includes forming a third contact structure extending in the first direction. The concave portion is aligned with the third contact structure in the first direction.
In a further embodiment, a semiconductor device is provided. The semiconductor device includes a first gate structure and a second gate structure extending in a first direction. A first source/drain feature is between the first gate structure and a first side of the second gate structure and a second source/drain feature is adjacent a second side of the second gate structure. A first contact structure extends in a second direction and interfaces the first source/drain feature. A second contact structure extends in the second direction and interfaces the second source/drain feature. The second direction is perpendicular the first direction. A via structure extends in the first direction. The via structure interfaces the first contact structure and the second contact structure. The via structure is a non-linear shape in a top view.
In an embodiment, the non-linear shape includes a plurality of convex region and a plurality of concave regions. In a further embodiment, a first convex region of the plurality of convex regions interfaces the first contact structure and a second convex region of the plurality of convex regions interfaces the second contact structure. In a further implementation, at least one convex region of the plurality of convex regions interposes the first and second convex regions. In an embodiment, the first convex region is defined by curved sidewalls when viewed from the top view.
The foregoing has outlined features of several embodiments so that those skilled in the art may better understand the detailed description that follows. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure.
The present application claims the benefit of U.S. Provisional Application No. 63/362,469, filed Apr. 5, 2022, entitled “VIA STRUCTURE FOR SEMICONDUCTOR DEVICES AND METHODS OF FABRICATING THEREOF,” filed Apr. 5, 2022, herein incorporated by reference in its entirety.
Number | Date | Country | |
---|---|---|---|
63362469 | Apr 2022 | US |