As the semiconductor industry introduces new generations of integrated circuits (IC) having higher performance and more functionality, the density of the elements forming the ICs increases, while the dimensions, sizes and spacing between components or elements are reduced. The semiconductor industry continues to improve the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continual reductions in minimum feature size, which allow more components to be integrated into a given area.
For manufacturing different conductive layers on the substrate, the self-aligned contact (SAC) process may be utilized to avoid misalignment. However, the integrated fabrication also brings out some issues, such as reliability, high capacitance, or high resistance because of larger portion of barrier at trench and high capacitance ESL of metal oxide. Furthermore, via misalignment in much smaller pitch (<20 nm) is getting worse in the future. The via misalignment will cause reliability issue of interconnection structure. Therefore, there is a need in the art to provide improved devices or methods that can address the issues mentioned above.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Referring to
Referring first to
First, in
The first dielectric layer 101 and the second dielectric layer 106 can be formed by chemical vapor deposition (CVD), atomic layer deposition (ALD), spin coating or other suitable processes. form. The first dielectric layer 101 and the second dielectric layer 106 may be made of amorphous SiOx, SiOxCyHz, SiOxCy, SiCx or related low-k materials. The first conductive metal 102 may include electrically conductive materials, such as copper, cobalt, ruthenium, molybdenum, chromium, tungsten, manganese, rhodium, iridium, nickel, palladium, platinum, silver, gold, aluminum, alloys of the above, or others suitable materials. The hard mask layer 104 may include materials such as titanium nitride (TiN), tungsten nitride (WN), tungsten carbide (WC), silicon nitride (SiNx), or other suitable materials. The first conductive metal 102 can be deposited at a temperature between 300 degrees Celsius and 450 degrees Celsius by physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), electroless deposition (ELD), electrochemical plating (ECP) or other suitable processes to form. The hard mask layer 104 can be formed by chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD) or other suitable processes at a temperature between 300° C. and 500° C.
The first conductive feature 102p may be formed by plasma etching, reactive ion etching (RIE), or other suitable processes. The first conductive feature 102p is, for example, a conductive post, which can be electrically connected to a conductive contact 103 (e.g., a source region or a drain region contact) under the interconnection structure 100. Generally speaking, after the first conductive metal 102 undergoes anisotropic etching, the first conductive feature 102p with high aspect ratio is formed. The anisotropic etching can use oxygen-containing gas, fluorine-containing gas, chlorine-containing gas, bromine-containing gas, iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof. A suitable anisotropic etching process may be an ion beam etching (IBE) process with a power level between about 100 V and about 2000 V and a beam angle between about 0° and between about 70°, and the inert gas is selected from helium, neon, argon, krypton or xenon. Another suitable anisotropic etch process may be an inductively coupled plasma-reactive ion etch (ICP-RIE or RIE-ICP) process in which a transformer coupled plasma (TCP) power is between about 100 W and about between 1500 W, with a bias level between about 0 V and about 300 V, and one or more organic gases, such as acetic acid (CH3COOH), methanol (CH3OH), or ethanol (C2H5OH). Yet another suitable anisotropic etch process may be a RIE-ICP process with a transformer coupled plasma (TCP) power of between about 100 W to about 1500 W, a bias power between about 0 V and about 500 V, and fluorocarbon gases such as tetrafluoromethane (CF4), trifluoromethane (CHF3), difluoromethane (CH2F2), perfluorocyclobutane (C4F8) and/or hexafluorobutadiene (C4F6) and nitrogen, oxygen or argon. Yet another suitable anisotropic etch process may be a RIE process with a TCP power level between about 100 W and about 2000 W, a bias voltage between about 0 V and about 500 V, halogen or halogen substituted carbon compounds such as chlorine (Cl2), chlorosilane (SiCl4), chloroborane (BCl3) and/or fluorocarbons (such as CF4, CHF3, CH2F2, C4F8, C4F6) and nitrogen, oxygen or argon.
The barrier layer 105 may include silicon oxycarbide, silicon carbonitride, silicon nitride, silicon oxynitride, silicon oxide, silicon carbide, silicon oxynitride or other suitable dielectric materials. The barrier layer 105 can be formed by chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD) or other suitable processes. The barrier layer 105 can prevent the first conductive metal 102 from causing metal diffusion to the subsequently formed dielectric material. The thickness of the barrier layer 105 is generally between about 10 nm and about 40 nm, and the trench width between the two first conductive features 102p will reduce about 2 nm to 8 nm.
The difference between the new conductive feature and the traditional conductive feature is that the traditional conductive feature is formed in the dielectric layer through dual damascene, single damascene, half damascene or other suitable processes. Taking the single damascene process as an example, the dielectric layer is etched to form openings according to a predefined pattern. Then, a barrier layer is deposited in the opening, and a conductive metal (e.g., copper) is deposited on the barrier layer. Thereafter, the upper surface of the conductive metal is planarized such that the conductive feature, barrier layer, and dielectric layer substantially stack to each other. In contrast, the first conductive feature 102p of this embodiment is formed by plasma etching, reactive ion etching (RIE) or other suitable processes, and then, a barrier layer 105 is comprehensively formed on the top surface of the first dielectric layer 101, the sidewalls of the first conductive feature 102p and the hard mask layer 104. The second dielectric layer 106 is comprehensively formed on the top surfaces of the barrier layer 105, the first conductive feature 102p and the hard mask layer 104.
After the planarization process, the top surface S1 of the first conductive feature 102p, the top surface of the barrier layer 105, and the top surface S2 of the second dielectric layer 106 are substantially coplanar. Subsequent interconnection structures are mainly stacked into double-layer, three-layer or more interconnection structures 110 based on the configuration of
First, in
In some embodiments, before forming the capping layer 111, a pretreatment process may be performed to facilitate the selective deposition of the capping layer 111 on the top surface of the first conductive feature 102p. The pretreatment process may be performed by contacting the interconnect structure 100 with H2 plasmas formed from a hydrogen-containing precursor (e.g., hydrogen, ammonia, hydrocarbons, or the like, or any combination thereof).
In some embodiments, the capping layer 111 may include two-dimensional (2D) materials, as shown in
In addition, as shown in
As shown in
As shown in
The SiO2 film of this embodiment uses tri(tert-butoxy) silanol (TBS) and trimethylaluminum (TMA) as silicon oxide source and catalyst respectively to deposit amorphous, transparent and conformal SiO2 film. The growth rate of SiO2 thin film increase rapidly to a maximum at 200° C., and the rapid SiO2 ALD is considered to be the result of the growth of siloxane polymer chains under the catalysis of aluminum.
As shown in
As shown in
These crosslinking reactions link the siloxane polymer chains, causing the siloxane polymer layer to gel and eventually solidify to silicon dioxide (SiO2). Since the rate of diffusion of silanols through the solid silica is likely to be negligible, additional silanols can no longer reach the catalytic aluminum atoms, and thus the chemisorption of silanols eventually ceases (becomes self-limiting nature). Sufficient hydroxyl groups remain on the surface of the silicon dioxide (SiO2) so that the cycle can begin again by reacting with the next dose of trimethylaluminum (TMA).
As shown in
As shown in
Before forming the liner 116, a pretreatment process (for example, the aforementioned H2 plasma treatment) may be performed on the third dielectric layer 115 and the capping layer 111. The pretreatment process may be performed by contacting the interconnect structure 110 with an H2 plasma formed from a hydrogen-containing precursor (e.g., hydrogen, ammonia, hydrocarbons, or the like, or any combination thereof). For example, H2 plasma can change the surface function of the capping layer 111 by reducing the number of unwanted hydroxyl groups on the graphene surface, and provide strong chemical modification of graphene through surface hydrogenation. The hydrophobicity of the treated graphene surface leads to a delay in the nucleation of the liner 116. For example, during the deposition of the liner 116, HfOx will bond with the third dielectric layer 115 more easily than the treated graphene surface. Therefore, the pretreatment process helps to selectively deposit the liner 116 on the third dielectric layer 115. By performing H2 plasma treatment, the surface of the third dielectric layer 115 (for example, SiOx, SiOxCyHz, SiOxCy or SiCx) and the capping layer 111 (for example, two-dimensional material) may have different liner deposition selectivity (for example, HfOx). Therefore, as shown in
As shown in
In some embodiments, the second conductive feature 117a may be formed by dual damascene, single damascene, half damascene, or other suitable processes. In some embodiments, the second conductive feature 117a may be formed by a half damascene process. In some embodiments, the second conductive feature 117a may include a via connection structure within the opening 115a and a conductive line on the via connection structure. In some embodiments, the via connection structure and the conductive line may be formed of the same material.
It can be understood that the interconnection structures 100 and 110 are not limited to be directly formed on the semiconductor device (not shown). Other structures (e.g., middle end of the line (MEOL) structures) may be formed between the interconnection structures 100, 110 and the semiconductor device. Therefore, the interlayer dielectric layer (for example, the first dielectric layer 101, the second dielectric layer 106 and the third dielectric layer 115) of the interconnection structures 100, 110 can be any layer in the interlayer dielectric layers.
Moreover, the first dielectric layer 101, the second dielectric layer 106 and the third dielectric layer 115 may include or be made of a dielectric material having ordered pores, and these materials are characterize by a low dielectric constant and a high mechanical strength. Therefore, the k value of the first dielectric layer 101, the second dielectric layer 106 and the third dielectric layer 115 can be reduced, and the capacitance of the interconnection structures 100 and 110 can also be reduced. In the case of misalignment, as shown in
The present disclosure relates to an interconnection structure and a manufacturing method thereof. The new conductive feature may be formed by deep etching (such as chemical ion etching, RIE), which is different from traditional conductive feature that is formed in the dielectric layer through dual damascene, single damascene, semi-damascene or other suitable processes. In addition, in the present disclosure, Tri(tert-butoxy) silanol (TBS) and trimethylaluminum (TMA) are used as silicon oxide source and catalyst to deposit SiO2 thin film on the dielectric layer and becomes the dielectric layer on the dielectric layer (DoD), which may grow faster than a traditional SiO2 thermal ALD and in a lower temperature range (about 200° C.), which can solve the problem of traditional SiO2 deposition technology with high growth temperature (greater than 400° C.), particle generation, poor surface coverage and low deposition rates.
According to some embodiments of the present disclosure, a method for manufacturing an interconnection structure including the following steps is provided. A first conductive metal is formed on a first dielectric layer. A hard mask layer is formed on the first conductive metal. The hard mask layer is patterned and the first conductive metal is partially etched to form a first conductive feature. A barrier layer is formed on the first dielectric layer, sidewalls of the first conductive feature, and the hard mask layer. A second dielectric layer is formed on the barrier layer, the first conductive feature, and the hard mask layer. A planarization process is performed to remove the hard mask layer and a portion of the second dielectric layer to expose the top surface of the first conductive feature and the top surface of the second dielectric layer.
According to some embodiments of the present disclosure, an interconnection structure is provided, which includes a first dielectric layer, a first conductive feature, a second dielectric layer, and a barrier layer. The first conductive feature is disposed on the first dielectric layer, the second dielectric layer is located on the first dielectric layer and surrounds the sidewalls of the first conductive feature, the barrier layer is disposed between the first dielectric layer and the second dielectric layer and between the sidewalls of the first conductive feature and the sidewalls of the second dielectric layer.
According to some embodiments of the present disclosure, a method for forming silicide on an interconnect structure is provided. The interconnection structure includes a dielectric layer and a conductive feature. The method for forming silicide includes the following steps. A capping layer is formed on a top surface of the conductive feature. A catalyst layer is formed on a top surface of the dielectric layer. A silicide layer is formed on the catalyst layer by rapid atomic layer deposition (ALD), wherein the silicide layer surrounds the capping layer.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.