Interferometer endpoint monitoring device

Information

  • Patent Grant
  • 7682984
  • Patent Number
    7,682,984
  • Date Filed
    Wednesday, September 13, 2006
    18 years ago
  • Date Issued
    Tuesday, March 23, 2010
    14 years ago
Abstract
A photomask etch chamber, which includes a substrate support member disposed inside the chamber. The substrate support member is configured to support a photomask substrate. The chamber further includes a ceiling disposed on the chamber and an endpoint detection system configured to detect a peripheral region of the photomask substrate.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


Embodiments of the present invention generally relate to the fabrication of integrated circuits and to the fabrication of photomasks useful in the manufacture of integrated circuits.


2. Description of the Related Art


Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 0.15 μm and even 0.13 μm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries.


The increasing circuit densities have placed additional demands on processes used to fabricate semi-conductor devices. For example, as circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Reliable formation of high aspect ratio features is important to the success of sub-micron technology and to the continued effort to increase circuit density and quality of individual substrates.


High aspect ratio features are conventionally formed by patterning a surface of a substrate to define the dimensions of the features and then etching the substrate to remove material and define the features. To form high aspect ratio features with a desired ratio of height to width, the dimensions of the features are required to be formed within certain parameters, which are typically defined as the critical dimensions of the features. Consequently, reliable formation of high aspect ratio features with desired critical dimensions requires precise patterning and subsequent etching of the substrate.


Photolithography is a technique used to form precise patterns on the substrate surface. The patterned substrate surface is etched to form the desired device or features. Photolithography techniques use light patterns and resist materials deposited on a substrate surface to develop the patterns on the substrate surface prior to the etching process. In conventional photolithographic processes, a resist is applied on the layer to be etched, and the features to be etched in the layer, such as contacts, vias, or interconnects, are defined by exposing the resist to a pattern of light through a photolithographic reticle having a photomask layer disposed thereon. The photomask layer corresponds to the desired configuration of features. A light source emitting ultraviolet (UV) light or low X-ray light, for example, may be used to expose the resist to alter the composition of the resist. Generally, the exposed resist material is removed by a chemical process to expose the underlying substrate material. The exposed underlying substrate material is then etched to form the features in the substrate surface while the retained resist material remains as a protective coating for the unexposed underlying substrate material.


Photolithographic reticles typically include a substrate made of an optically transparent material, such as quartz (i.e., silicon dioxide, SiO2), having an opaque light-shielding layer of metal, typically chromium, disposed on the surface of the substrate. The light-shielding layer is patterned to correspond to the features to be transferred to the substrate. Generally, conventional photolithographic reticles are fabricated by first depositing a thin metal layer on a substrate comprising an optically transparent material, such as quartz, and depositing a resist layer on the thin metal layer. The resist is then patterned using conventional laser or electron beam patterning equipment to define the critical dimensions to be transferred to the metal layer. The metal layer is then etched to remove the metal material not protected by the patterned resist, thereby exposing the underlying material and forming a patterned photomask layer. Photomask layers allow light to pass therethrough in a precise pattern onto the substrate surface.


During processing, endpoint data from the patterns disposed on the photolithographic reticles may be used to determine whether the process meets the desired recipe. Each photolithographic reticle generally has its own set of patterns. Consequently, different photolithographic reticles operating under the same recipe generally yield different endpoint data, thereby making it difficult to determine whether the processing conditions in the chamber meet the desired recipe.


Therefore, a need exists in the art for an improved apparatus and method for generating endpoint data that would be consistent for each photolithographic reticle.


SUMMARY OF THE INVENTION

Embodiments of the present invention are generally directed to a photomask etch chamber, which includes a substrate support member disposed inside the chamber. The substrate support member is configured to support a photomask substrate. The chamber further includes a ceiling disposed on the chamber and an endpoint detection system configured to detect a peripheral region of the photomask substrate.


In one embodiment, the endpoint detection system is disposed through a peripheral region of the ceiling and positioned directly above the peripheral region of the photomask substrate.


In another embodiment, the endpoint detection system is disposed through a peripheral region of the substrate support member and positioned directly below the peripheral region of the photomask substrate.


In yet another embodiment, the endpoint detection system is an interferometer endpoint detection system.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features, advantages and objects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.


It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.



FIG. 1 illustrates a schematic cross sectional view of an inductively coupled plasma etch chamber in accordance with one embodiment of the invention.



FIG. 2 illustrates an endpoint detection system in accordance with another embodiment of the invention.



FIG. 3 illustrates a top view of a substrate in accordance with one embodiment of the invention.





While the invention is described herein by way of example for several embodiments and illustrative drawings, those skilled in the art will recognize that the invention is not limited to the embodiments or drawings described. It should be understood, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims. The headings used herein are for organizational purposes only and are not meant to be used to limit the scope of the description or the claims.


DETAILED DESCRIPTION


FIG. 1 is a schematic cross sectional view of an inductively coupled plasma etch chamber 10 in accordance with one embodiment of the invention. Suitable inductively coupled plasma etch chambers include the ETEC Tetra™ II photomask etch chamber available from ETEC of Hayward, California, or optionally, the Decoupled Plasma Source (DPS™) chamber available from Applied Materials, Inc., of Santa Clara, Calif. Other process chambers may be used in connection with embodiments of the invention, including, for example, capacitive coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs.


The processing chamber 10 generally includes a cylindrical sidewall or chamber body 12, an energy transparent dome ceiling 13 mounted on the body 12, and a chamber bottom 17. The ceiling 13 may be flat, rectangular, arcuate, conical, dome or multi-radius shaped. An inductive coil 26 is disposed around at least a portion of the dome 13. The chamber body 12 and the chamber bottom 17 of the processing chamber 10 can be made of a metal, such as anodized aluminum, and the ceiling 13 can be made of an energy transparent material such as a ceramic or other dielectric material.


A substrate support member 16 is disposed in the processing chamber 10 to support a substrate 20 during processing. The support member 16 may be a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode. While not shown, a reticle adapter may be used to secure the reticle on the support member 16. The reticle adapter generally includes a lower portion milled to cover an upper portion of the support member and a top portion having an opening that is sized and shaped to hold a reticle. A suitable reticle adapter is disclosed in U.S. Pat. No. 6,251,217, issued on Jun. 26, 2001, which is incorporated herein by reference to the extent not inconsistent with aspects and claims of the invention.


Processing gases are introduced into the processing chamber 10 from a process gas source 48 through a gas distributor 22 peripherally disposed about the support member 16. Mass flow controllers (not shown) for each processing gas, or alternatively, for mixtures of the processing gas, are disposed between the processing chamber 10 and the process gas source 48 to regulate the respective flow rates of the process gases.


A plasma zone 14 is defined by the process chamber 10, the substrate support member 16 and the ceiling 13. A plasma is formed in the plasma zone 14 from the processing gases using a coil power supply 27 which supplies power to the inductor coil 26 to generate an electromagnetic field in the plasma zone 14 through an RF match network 35. The support member 16 may include an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the processing chamber 10 through an RF match network 25. Typically, RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded. The capacitive electric field is transverse to the plane of the support member 16, and influences the directionality of charged species more normal to the substrate 20 to provide more vertically oriented anisotropic etching of the substrate 20.


Process gases and etchant byproducts are exhausted from the process chamber 10 through an exhaust system 30. The exhaust system 30 may be disposed in the bottom 17 of the processing chamber 10 or may be disposed in the body 12 of the processing chamber 10 for removal of processing gases. A throttle valve 32 is provided in an exhaust port 34 for controlling the pressure in the processing chamber 10.



FIG. 1 further illustrates an endpoint detection system 64 connected to the processing chamber 10 in accordance with one embodiment of the invention. The endpoint detection system 64 may be an interferometer endpoint (IEP) detection system. The endpoint detection system 64 is positioned through the peripheral portion of the ceiling 13, i.e., over a peripheral portion of the substrate. In this manner, the endpoint detection system 64 has a direct line of sight to detect the peripheral region 315 of the substrate surface (shown in FIG. 3).


The endpoint detection system 64 generally comprises a light source 66 for emitting a light beam, a focusing assembly 68 for focusing an incident light beam 76, which illuminates an area or spot 80 on the surface of substrate 20, and a light detector 70 that measures the intensity of a reflected light beam 78, which is reflected from the beam spot 80 on substrate 20 surface. A computer 72 calculates portions of the real-time measured waveform spectra of light reflected from the beam spot 80 on substrate 20 and compares these with a stored characteristic waveform pattern.


The light source 66 comprises a monochromatic or polychromatic light source that generates an incident light beam 76, which illuminates a beam spot 80 on substrate 20. When the layer onto which the illuminated spot 80 is directed has a sufficient thickness, a reflected light beam 78 is reflected from beam spot 80. The intensity of the incident light beam 76 is selected to be sufficiently high to provide a reflected light beam 78 which has a measurable intensity. In one version, the light source 66 provides polychromatic light, such as an Hg—Cd lamp, which generates an emission spectrum of light in wavelengths from about 200 nm to about 600 nm. The polychromatic light source 66 can be filtered to provide an incident light beam 76 having selected frequencies. Color filters can be placed in front of the light detector 70 to filter out all wavelengths except for the desired wavelength of light, prior to measuring the intensity of the reflected light beam 78 entering the light detector 70. The light source 66 can also comprise a flash lamp or a monochromatic light source that provides a selected wavelength of light, for example, an He—Ne or ND-YAG laser.


One or more convex focusing lenses 74a, 74b may be used to focus an incident light beam 76 from the light source 66 to form a beam spot 80 on the substrate surface, and to focus the reflected light beam 78 back on the active surface of light detector 70. The size or area of the beam spot 80 should be sufficiently large to compensate for variations in surface topography of the substrate 20 and device design features. This enables detection of etch endpoints for high aspect ratio features having small openings, such as vias or deep narrow trenches, which may be densely present or more isolated. The area of the reflected light beam should be sufficiently large to activate a large portion of the active light-detecting surface of the light detector 70. The incident and reflected light beams 76, 78 are directed through a transparent window 82 in the process chamber 10 that allows the light beams to pass in and out of the processing environment.


The diameter of the beam spot 80 is generally about 2 mm to about 10 mm. However, if the beam spot 80 encompasses large isolated areas of the substrate, containing only a small number of etched features, it may be necessary to use a larger beam spot in order to encompass a greater number of etched features. The size of the beam spot can therefore be optimized, depending on the design features for a particular device.


Optionally, a light beam positioner 84 may be used to move the incident light beam 76 across the substrate 20 to locate a suitable portion of the substrate surface on which to position the beam spot 80 to monitor an etching process. The light beam positioner 84 may include one or more primary mirrors 86 that rotate at small angles to deflect the light beam from the light source 66 onto different positions of the substrate surface. Additional secondary mirrors may be used (not shown) to intercept the reflected light beam 78 that is reflected from the substrate 20 surface and focus the reflected light beam 78 on the light detector 70. The light beam positioner 84 may also be used to scan the light beam in a raster pattern across the substrate 20 surface. In this version, the light beam positioner 84 comprises a scanning assembly consisting of a movable stage (not shown), upon which the light source 66, the focusing assembly 68 and the detector 70 are mounted. The movable stage can be moved through set intervals by a drive mechanism, such as a stepper motor, to move the beam spot 80 across the substrate 20 surface.


The light detector 70 comprises a light-sensitive electronic component, such as a photovoltaic cell, photodiode, or phototransistor, which provides a signal in response to a measured intensity of the reflected light beam 78 that is reflected from the substrate 20 surface. The signal can be in the form of a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component. The reflected light beam 78 undergoes constructive and/or destructive interference which increases or decreases the intensity of the light beam, and the light detector 70 provides an electrical output signal in relation to the measured intensity of the reflected light beam 78. The electrical output signal is plotted as a function of time to provide a waveform spectra having numerous waveform patterns corresponding to the varying intensity of the reflected light beam 78.


A computer program on a computer system 72 compares the shape of the measured waveform pattern of the reflected light beam 78 to a stored characteristic waveform pattern and determines the endpoint of the etching process when the measured waveform pattern is the same as the characteristic waveform pattern. As such, the period of interference signal may be used to calculate the depth and etch rate. The program may also operate on the measured waveform to detect a characteristic waveform, such as, an inflection point. The operations can be simple mathematic operations, such as evaluating a moving derivative to detect an inflection point.


The endpoint detection system 64 is configured to detect patterns disposed in any region of the substrate surface. In one embodiment of the invention, the endpoint detection system 64 is used to detect the endpoint of one or more test patterns 330 disposed on the peripheral region 315 of the substrate surface or on the corners 325 of the substrate surface. As such, the endpoint detection system 64 may be disposed directly above the peripheral region 315 or corner regions 325 of the substrate surface. For example, in detecting a 6 inch by 6 inch substrate, the endpoint detection system 64 may be disposed about 2.6-2.9 inches from a horizontal center line 310 of the substrate 20 and about 2.6-2.9 inches from a vertical center line 320 of the substrate 20, as illustrated in FIG. 3.


The light beams reflected from each substrate having the same test patterns are configured to have the same waveform patterns when detected by the endpoint detection system 64. In this manner, the waveform patterns derived from the same test patterns may be used to determine whether the chamber is operating according to a particular recipe.



FIG. 2 illustrates an endpoint detection system 264 in accordance with another embodiment of the invention. The endpoint detection system 264 is disposed through the substrate support member 216. The endpoint detection system 264 comprises a light source 266 for emitting a light beam, a focusing assembly 268 for focusing an incident light beam 276, which illuminates an area or spot 280 on the bottom surface of substrate 220, and a light detector 270 that measures the intensity of a reflected light beam 278 that is reflected from the beam spot 280 on substrate bottom surface. A computer 272 calculates portions of the real-time measured waveform spectra of light reflected from the beam spot 280 on substrate 220 and compares these with a stored characteristic waveform pattern. Detailed description of the light source 66, the focusing assembly 68, the light detector 70, the computer 72, and other components of the endpoint detection system 64 may also apply to the light source 266, the focusing assembly 268, the light detector 270, the computer 272, and other components of the endpoint detection system 264.


While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A method for monitoring substrate etching process, comprising: placing a substrate having one or more test patterns disposed on a peripheral region of the substrate in a square opening of a substrate support in a plasma etching chamber, the substrate support having an endpoint detection system disposed therein, the endpoint detection system disposed in a plurality of locations within a quadrilateral band, wherein at least one of the plurality of locations is in a corner of the square opening;etching one or more of the test patterns; anddetecting a predetermined endpoint of the etching step by sensing a change in a characteristic of the one or more test patterns from a backside of the substrate by the endpoint detection system.
  • 2. The method of claim 1, wherein placing the substrate further comprises: aligning one or more of the test patterns over the endpoint detection system.
  • 3. The method of claim 1, wherein detecting further comprises: performing an interferometric endpoint detection routine from the backside of the substrate.
  • 4. A method for monitoring substrate etching process: placing a substrate on center of a substrate support disposed in a plasma etching chamber, the substrate support having an endpoint detection system positioned in a corner of a square substrate receiving opening of the substrate support in a position to view a backside of the substrate through the substrate support;etching the substrate in the processing chamber; andoptically detecting an endpoint of the etching step from one or more test patterns disposed on a periphery region of the substrate from the backside of the substrate using the endpoint detection system.
  • 5. The method of claim 4, wherein the step of optically detecting the endpoint further comprises, performing an interferometric endpoint routine.
  • 6. The method of claim 4, wherein the step of optically detecting the endpoint further comprises: directing a light beam through the substrate support to the substrate; andmeasuring that intensity of a light beam reflected from a substrate.
  • 7. The method of claim 4, wherein the step of optically detecting further comprises: focusing a light to a spot on the backside of the substrate.
  • 8. The method of claim 7, wherein the step of focusing the light further comprises: directing the light about 2.6 to 2.9 inches off center of the substrate.
  • 9. The method of claim 7 further comprising: generating light from at least one monochromatic or polychromatic light source.
  • 10. The method of claim 4, wherein the step of optically detecting further comprises: illuminating a spot having a diameter of about 2 mm to about 10 mm on the backside of the substrate.
  • 11. A method for monitoring substrate etching process, comprising: placing a square substrate having one or more test patterns disposed on a periphery region of the substrate on a substrate support having an adapter having a square substrate receiving pocket, the substrate support having an endpoint detection system disposed in a peripheral portion of the substrate support;etching one or more of the test patterns disposed on the substrate support in a plasma etching chamber;directing light from the endpoint detection system through the pocket of the adapter to the test patterns through a bottom surface of the substrate, wherein the light is directed to the substrate from a corner of the adapter and from a location along an edge of the adapter;measuring a reflected light from the test patterns to the endpoint detection system; anddetermining an etch endpoint from the measured reflected light.
  • 12. The method of claim 11, wherein the test patterns are disposed on the peripheral region of the substrate outside of a region of the substrate wherein features are formed.
  • 13. The method of claim 11, wherein placing the substrate further comprises: aligning one or more of the test patterns disposed on the peripheral region of the substrate over the endpoint detection system disposed in the periphery region of the substrate support.
  • 14. The method of claim 11, wherein directing the light further comprises: emitting the light in a direct line of sight from the endpoint detection system through the substrate support to the test patterns disposed on the peripheral region of the substrate.
  • 15. The method of claim 11, wherein placing the substrate further comprises: aligning the test pattern with the endpoint detection system that is positioned to direct the light to illuminate the spot on the substrate.
  • 16. The method of claim 11, wherein the endpoint detection system is positioned to direct the light to illuminate the spot on the substrate.
  • 17. The method of claim 11, wherein emitting the incident light from the endpoint detection system further comprises, performing an interferometric endpoint routine.
  • 18. The method of claim 11, wherein the test patterns are disposed on corners of the substrate.
  • 19. The method of claim 18, wherein the endpoint detection system is positioned to direct the light to illuminate the test patterns disposed on the corners of the substrate.
CROSS-REFERENCE TO RELATED APPLICATION

This application is a continuation of U.S. patent application Ser. No. 10/672,420, filed Sep. 26, 2003 (APPM/8349), now abandoned which is incorporated herein by reference.

US Referenced Citations (135)
Number Name Date Kind
4058430 Suntola et al. Nov 1977 A
4389973 Suntola et al. Jun 1983 A
4413022 Suntola et al. Nov 1983 A
4611919 Brooks et al. Sep 1986 A
4834831 Nishizawa et al. May 1989 A
4993357 Scholz Feb 1991 A
5225366 Yoder Jul 1993 A
5281274 Yoder Jan 1994 A
5294286 Nishizawa et al. Mar 1994 A
5360738 Jones et al. Nov 1994 A
5374570 Nasu et al. Dec 1994 A
5433651 Lustig et al. Jul 1995 A
5441703 Jurgensen Aug 1995 A
5443647 Aucoin et al. Aug 1995 A
5480818 Matsumoto et al. Jan 1996 A
5483919 Yokoyama et al. Jan 1996 A
5503875 Imai et al. Apr 1996 A
5674786 Turner et al. Oct 1997 A
5711811 Suntola et al. Jan 1998 A
5796116 Nakata et al. Aug 1998 A
5807792 Ilg et al. Sep 1998 A
5835677 Li et al. Nov 1998 A
5855680 Soininen et al. Jan 1999 A
5866795 Wang et al. Feb 1999 A
5879459 Gadgli et al. Mar 1999 A
5916365 Sherman Jun 1999 A
5923056 Lee et al. Jul 1999 A
6015590 Suntola et al. Jan 2000 A
6015917 Bhandari et al. Jan 2000 A
6042652 Hyun et al. Mar 2000 A
6084302 Sandhu Jul 2000 A
6124158 Dautartas et al. Sep 2000 A
6139700 Kang et al. Oct 2000 A
6144060 Park et al. Nov 2000 A
6159297 Herchen et al. Dec 2000 A
6174377 Doering et al. Jan 2001 B1
6174809 Kang et al. Jan 2001 B1
6183563 Choi et al. Feb 2001 B1
6197683 Kang et al. Mar 2001 B1
6200893 Sneh Mar 2001 B1
6203613 Gates et al. Mar 2001 B1
6207487 Kim et al. Mar 2001 B1
6231672 Choi et al. May 2001 B1
6270572 Kim et al. Aug 2001 B1
6284646 Leem Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6305314 Sneh et al. Oct 2001 B1
6306216 Kim et al. Oct 2001 B1
6342277 Sherman Jan 2002 B1
6348376 Lim et al. Feb 2002 B2
6358829 Yoon et al. Mar 2002 B2
6372598 Kang et al. Apr 2002 B2
6379748 Bhandari et al. Apr 2002 B1
6391785 Satta et al. May 2002 B1
6399491 Jeon et al. Jun 2002 B2
6416577 Suntola et al. Jul 2002 B1
6416822 Chiang et al. Jul 2002 B1
6428859 Chiang et al. Aug 2002 B1
6447607 Soininen et al. Sep 2002 B2
6451119 Sneh et al. Sep 2002 B2
6451695 Sneh Sep 2002 B2
6468924 Lee et al. Oct 2002 B2
6475276 Elers et al. Nov 2002 B1
6475910 Sneh Nov 2002 B1
6478872 Chae et al. Nov 2002 B1
6481945 Hasper et al. Nov 2002 B1
6482262 Elers et al. Nov 2002 B1
6482733 Raaijmakers Nov 2002 B2
6511539 Raaijmakers Jan 2003 B1
6551406 Kilpi Apr 2003 B2
20010000866 Sneh et al. May 2001 A1
20010002280 Sneh May 2001 A1
20010003084 Finarov Jun 2001 A1
20010009140 Bondestam et al. Jul 2001 A1
20010009695 Saanila et al. Jul 2001 A1
20010010255 Kijima Aug 2001 A1
20010011526 Doering et al. Aug 2001 A1
20010013312 Soininen et al. Aug 2001 A1
20010014371 Kilpi Aug 2001 A1
20010024387 Raaijmakers et al. Sep 2001 A1
20010025979 Kim et al. Oct 2001 A1
20010028924 Sherman Oct 2001 A1
20010034123 Jeon et al. Oct 2001 A1
20010041250 Werkhoven et al. Nov 2001 A1
20010042523 Kesala Nov 2001 A1
20010042799 Kim et al. Nov 2001 A1
20010054377 Lindfors et al. Dec 2001 A1
20010054730 Kim et al. Dec 2001 A1
20010054769 Raaijmakers et al. Dec 2001 A1
20020000196 Park Jan 2002 A1
20020000598 Kang et al. Jan 2002 A1
20020007790 Park Jan 2002 A1
20020009544 McFeely et al. Jan 2002 A1
20020017364 Luo et al. Feb 2002 A1
20020020869 Park et al. Feb 2002 A1
20020021544 Cho et al. Feb 2002 A1
20020031618 Sherman Mar 2002 A1
20020041931 Suntola et al. Apr 2002 A1
20020048635 Kim et al. Apr 2002 A1
20020052097 Park May 2002 A1
20020066411 Chiang et al. Jun 2002 A1
20020068458 Chiang et al. Jun 2002 A1
20020073924 Chiang et al. Jun 2002 A1
20020076481 Chiang et al. Jun 2002 A1
20020076507 Chiang et al. Jun 2002 A1
20020076508 Chiang et al. Jun 2002 A1
20020076837 Hujanen et al. Jun 2002 A1
20020082296 Verschoor et al. Jun 2002 A1
20020086106 Park et al. Jul 2002 A1
20020092471 Kang et al. Jul 2002 A1
20020094689 Park Jul 2002 A1
20020098627 Pomarede et al. Jul 2002 A1
20020104481 Chiang et al. Aug 2002 A1
20020106536 Lee et al. Aug 2002 A1
20020108570 Lindfors Aug 2002 A1
20020134307 Choi Sep 2002 A1
20020144655 Chiang et al. Oct 2002 A1
20020144657 Chiang et al. Oct 2002 A1
20020146511 Chiang et al. Oct 2002 A1
20020155722 Satta et al. Oct 2002 A1
20020162506 Sneh et al. Nov 2002 A1
20020164421 Chiang et al. Nov 2002 A1
20020164423 Chiang et al. Nov 2002 A1
20020177282 Song Nov 2002 A1
20020182320 Leskela et al. Dec 2002 A1
20020187256 Elers et al. Dec 2002 A1
20020197402 Chiang et al. Dec 2002 A1
20030004723 Chihara Jan 2003 A1
20030013320 Kim et al. Jan 2003 A1
20030031807 Elers et al. Feb 2003 A1
20030042630 Babcoke et al. Mar 2003 A1
20030049942 Haukka et al. Mar 2003 A1
20030072975 Shero et al. Apr 2003 A1
20030075273 Kilpela et al. Apr 2003 A1
20030075925 Lindfors et al. Apr 2003 A1
Foreign Referenced Citations (38)
Number Date Country
1 167 569 Jan 2002 EP
2 355 727 May 2001 GB
57149732 Sep 1982 JP
58098917 Jun 1983 JP
4291916 Sep 1992 JP
5047666 Feb 1993 JP
5206036 Aug 1993 JP
5234899 Sep 1993 JP
5270997 Oct 1993 JP
6224138 May 1994 JP
11058225 Mar 1999 JP
2000319772 Mar 2000 JP
2001020075 Nov 2000 JP
2001111000 Apr 2001 JP
2001160576 Jun 2001 JP
2001160576 Jun 2001 JP
2001172767 Jun 2001 JP
2001217227 Aug 2001 JP
WO 9617107 Jun 1996 WO
WO 9901595 Jan 1999 WO
WO 9929924 Jun 1999 WO
WO 9965064 Dec 1999 WO
WO 0016377 Mar 2000 WO
WO 0054320 Sep 2000 WO
WO 0079576 Dec 2000 WO
WO 0115220 Mar 2001 WO
WO 0117692 Mar 2001 WO
WO 0127346 Apr 2001 WO
WO 0127347 Apr 2001 WO
WO 0129280 Apr 2001 WO
WO 0129891 Apr 2001 WO
WO 0129893 Apr 2001 WO
WO 0136702 May 2001 WO
WO 0166832 Sep 2001 WO
WO 0208485 Jan 2002 WO
WO 0208488 Jan 2002 WO
WO 0243115 May 2002 WO
WO 0245167 Jun 2002 WO
Related Publications (1)
Number Date Country
20070023393 A1 Feb 2007 US
Continuations (1)
Number Date Country
Parent 10672420 Sep 2003 US
Child 11531467 US