Low power semiconductor transistor structure and method of fabrication thereof

Information

  • Patent Grant
  • 9865596
  • Patent Number
    9,865,596
  • Date Filed
    Wednesday, September 21, 2016
    7 years ago
  • Date Issued
    Tuesday, January 9, 2018
    6 years ago
Abstract
A structure and method of fabrication thereof relate to a Deeply Depleted Channel (DDC) design, allowing CMOS based devices to have a reduced σVT compared to conventional bulk CMOS and can allow the threshold voltage VT of FETs having dopants in the channel region to be set much more precisely. The DDC design also can have a strong body effect compared to conventional bulk CMOS transistors, which can allow for significant dynamic control of power consumption in DDC transistors. The semiconductor structure includes an analog device and a digital device each having an epitaxial channel layer where a single gate oxidation layer is on the epitaxial channel layer of NMOS and PMOS transistor elements of the digital device and one of a double and triple gate oxidation layer is on the epitaxial channel layer of NMOS and PMOS transistor elements of the analog device.
Description
TECHNICAL FIELD

This application relates in general to semiconductor devices and fabrication processes and more particularly to a low power semiconductor transistor structure and method of fabrication thereof.


BACKGROUND

For some time the semiconductor industry has been using bulk CMOS technology to make circuits in chips. Bulk CMOS technology has proven to be particularly “scalable,” meaning that bulk CMOS transistors can be made smaller and smaller while optimizing and reusing existing manufacturing processes and equipment in order to maintain acceptable production costs. Historically, as the size of a bulk CMOS transistor decreased, so did its power consumption, helping the industry provide increased transistor density and lower operating power. Thus, the semiconductor industry has been able to scale the power consumption of bulk CMOS transistors with their size, reducing the cost of operating transistors and the systems in which they reside.


In recent years, however, decreasing the power consumption of bulk CMOS transistors while reducing their size has become increasingly more difficult. Transistor power consumption directly affects chip power consumption, which, in turn, affects the cost of operating a system and, in some cases, the utility of the system. For example, if the number of transistors in the same chip area doubles while the power consumption per transistor remains the same or increases, the power consumption of the chip will more than double. This is due in part by the need to cool the resulting chip, which also requires more energy. As a result, this would more than double the energy costs charged to the end user for operating the chip. Such increased power consumption could also significantly reduce the usefulness of consumer electronics, for example, by reducing the battery life of mobile devices. It could also have other effects such as increasing heat generation and the need for heat dissipation, potentially decreasing reliability of the system, and negatively impacting the environment.


There has arisen among semiconductor engineers a widespread perception that continued reduction of power consumption of bulk CMOS is not feasible, in part because it is believed that the operating voltage VDD of the transistor can no longer be reduced as transistor size decreases. A CMOS transistor is either on or off. The CMOS transistor's state is determined by the value of a voltage applied to the gate of the transistor relative to a threshold voltage VT of the transistor. While a transistor is switched on, it consumes dynamic power, which can be expressed by the equation:

Pdynamic=CVDD2f

where VDD is the operating voltage supplied to the transistor, C is the load capacitance of the transistor when it is switched on, and f is the frequency at which the transistor is operated. While a transistor is switched off, it consumes static power, which can be expressed by the equation:

Pstatic=IOFFVDD

where IOFF is the leakage current when the transistor is switched off. Historically, the industry has reduced transistor power consumption primarily by reducing the operating voltage VDD, which reduces both dynamic and static power.


The ability to reduce the operating voltage VDD depends in part on being able to accurately set the threshold voltage VT, but that has become increasingly difficult as transistor dimensions decrease. For transistors made using bulk CMOS processes, the primary parameter that sets the threshold voltage VT is the amount of dopants in the channel. Other factors that affect VT are halo implantation, source and drain low doped extensions, and channel thickness. In theory, matching transistor VT can be done precisely, such that the same transistors on the same chip will have the same VT, but in reality the process and statistical variations in dopant concentration and placement mean that threshold voltages can vary significantly. Such mismatched transistors will not all switch on at the same time in response to the same gate voltage, and in extreme cases some may never switch on. Of even more concern, mismatched transistors result in increased leakage losses that waste power even when a transistor is not actively switching.


For transistors having a channel length of 100 nm or less, as few as thirty to fifty dopant atoms may be positioned in a channel at nominal dopant concentration levels. This compares with the thousands, or tens of thousands of atoms that are in positioned in the channel for previous generation transistors that have channel lengths greater than 100 nanometers or so. For nanometer scale transistors, the inherent statistical variation in numbers and placement of such few dopant atoms results in a detectable variation in VT known as random dopant fluctuations (RDF). Along with process and material variations, for nanometer scale bulk CMOS transistors with doped channel, RDF is a major determinant of variations in VT (typically referred to as sigma VT or σVT) and the amount of σVT caused by RDF only increases as channel length decreases.


Processes and designs for novel transistors having greatly reduced σVT are sought by the industry. However, many proposed solutions such as undoped channel FINFET would require substantial changes in transistor process manufacture and layout. This has slowed adoption, since the industry prefers to avoid redesigns that require substantial change in conventional and widely used integrated circuit manufacturing processes and transistor layout. This is particularly true for systems on chip (SoC) or other highly integrated devices that include a wide variety of circuit types, such as analog input and output circuits (I/O), digital circuits, and other types of circuits. Moreover, given the different types of circuits on such highly integrated systems, if one or more types of circuits can be improved, and any necessary legacy circuits remain the same, the overall SoC should still be produced together to avoid extra steps in the manufacturing process. For example, if an improvement to the digital circuits can be accomplished, and the improvement did not apply to analog circuits, it would be desirable to have the circuits to be manufactured together simultaneously without adding further processing steps. The entire integrated circuit can be redesigned to accommodate operation at the reduced voltage power source. As referenced herein, the term “redesign” can include appropriate sizing of transistor gates prior to circuit fabrication. However, difficulties are encountered when redesign attempts are made. Extra process and masking steps, can be complex, costly and technically difficult.


Given the substantial costs and risks associated with transitioning to a new technology, manufacturers of semiconductors and electronic systems have long sought a way to extend the use of bulk CMOS. At least in part due to the inability to easily control σVT variation in groups of transistors as VDD is substantially reduced below one volt, the continued reduction of power consumption in bulk CMOS has increasingly become viewed in the semiconductor industry as an insurmountable problem.


SUMMARY

There is substantial advantage in having a low power digital transistor process and structure that permits on-die analog I/O transistors to remain unchanged even when low power transistors replace standard transistors. Thus, it is desirable to have a mix of circuits where some are changed and others are unchanged legacy circuits, but where the process to manufacture them is not substantially changed. And to reduce costs and increase yields, it would be best to not substantially increase the number of manufacturing steps in producing the overall integrated circuit.


Thus, there is a need in the art for improved structures and fabrication methods for complementary metal oxide semiconductor (CMOS) transistors and integrated circuits, and also a transistor fabrication process that is compatible with the fabrication of digital and analog transistors on a single integrated circuit die.


A suite of novel structures and methods is provided to reduce power consumption in a wide array of electronic devices and systems. Some of these structures and methods can be implemented largely by reusing existing bulk CMOS process flows and manufacturing technology, allowing the semiconductor industry as well as the broader electronics industry to avoid a costly and risky switch to alternative technologies. Some of the structures and methods relate to a Deeply Depleted Channel (DDC) design, allowing CMOS based devices to have a reduced σVT compared to conventional bulk CMOS and can allow the threshold voltage VT of FETs having dopants in the channel region to be set much more precisely. The DDC design also can have a strong body effect compared to conventional bulk CMOS transistors, which can allow for significant dynamic control of power consumption in DDC transistors. There are many ways to configure the DDC to achieve different benefits, and additional structures and methods presented herein can be used alone or in conjunction with the DDC to yield additional benefits.


The disclosure describes various technical advantages over conventional semiconductor fabrication processing. One technical advantage is to provide a semiconductor structure that includes an analog device and a digital device each having an epitaxial channel layer. Another technical advantage is to have a single gate oxidation layer on the epitaxial channel layer of NMOS and PMOS transistor elements of the digital device and one of a double and triple gate oxidation layer on the epitaxial channel layer of NMOS and PMOS transistor elements of the analog device. Yet another technical advantage includes the use of a body bias to provide significant dynamic control of power consumption in DDC transistors. The result is the ability to independently control VT (with a low σVT) and VDD, so that the body bias can be tuned separately from VT for a given device.


Certain embodiments of the present disclosure may enjoy some, all, or none of these advantages. Other technical advantages may be readily apparent to one skilled in the art from the following figures, description, and claims.





BRIEF DESCRIPTION OF THE DRAWINGS

For a more complete understanding of the present disclosure, reference is made to the following description taken in conjunction with the accompanying drawings, wherein like reference numerals represent like parts, in which:



FIG. 1 shows a representative SoC with outlined groupings of DDC digital transistors, digital legacy transistors, DDC analog transistors, and analog legacy transistors, along with a respective profile.



FIG. 2 is a general flow chart showing different process steps related to processing DDC analog and digital devices.



FIG. 3 is an example of a transistor dopant profile illustrating ranges of dopants according to different embodiments.



FIG. 4 is a flow chart showing one embodiment of an integrated circuit process flow.



FIG. 5 is a flow chart showing one embodiment of an integrated circuit process flow.



FIG. 6 is a flow chart showing one embodiment of an integrated circuit process flow.



FIGS. 7 through 30 show a series of steps in a process flow of an SoC having DDC digital transistors, digital legacy transistors, DDC analog transistors, and analog legacy transistors according to one embodiment.





DETAILED DESCRIPTION

Novel structures and methods are disclosed to reduce power consumption in a wide array of electronic devices and systems. Some of these structures and methods can be implemented largely by reusing existing bulk CMOS process flows and manufacturing technology, allowing the semiconductor industry as well as the broader electronics industry to avoid a costly and risky switch to alternative technologies. Different transistor designs, including both analog and digital transistors, can be incorporated into a single integrated circuit or system on a chip (SoC) for improved power saving benefits. Moreover, these new structures can be incorporated into the process flow together with legacy transistor and layout structures, reducing the risk to manufacturers of incorporating new structures in the process flow of an integrated circuit by avoiding extra process steps. As a result, there is little or no substantial increase in expense of production of integrated circuits, such as SoC, that incorporate the novel power saving transistor structures.


Also provided are methods and structures for incorporating and using the innovations described herein in systems, such as in electronic products, to provide substantial benefits over conventional devices as a result of lower power operation. Such benefits include lower power consumption at the system level, improved system performance, improved system cost, improved system manufacturability and/or improved system reliability as a result of cooler low power systems that may be designed and manufactured according to the embodiments described and illustrated herein. As will be demonstrated, the innovations can advantageously be employed in a wide range of electronic systems including consumer devices such as personal computers, mobile phones, televisions, digital music players, set top boxes, laptop and palmtop computing devices, e-book readers, digital cameras, GPS systems, flat panel displays, portable data storage devices and tablets, as well as in a variety of other electronic devices. In some implementations, the transistors and integrated circuits can materially enhance the operation and, accordingly, the commercial suitability, of the electronic system as a whole. In some embodiments, innovative transistors, integrated circuits and systems that contain them as described herein may also enable more environmentally friendly implementations than alternative approaches.


These and other benefits provide an advancement in digital circuits that fulfills many needs of designers, producers, and consumers. These benefits can provide systems composed of novel structures that enable continued and further advancement of integrated circuits, resulting in devices and systems with improved performance. The embodiments and examples will be described herein with reference to transistors, integrated circuits, electronic systems, and related methods, and will highlight the features and benefits that the novel structures and methods provide at various levels of the manufacturing process and the chain of commerce, including to end users of electronic products. The application of concepts inherent in these examples to structures and methods of producing integrated circuits and electronic systems will prove expansive. Accordingly, it will be understood that the spirit and scope of the inventions is not limited to these embodiments and examples, but is only limited by the claims appended herein and also in related and co-assigned applications.


In one embodiment, a novel nanoscale Field Effect Transistor (FET) structure is provided that has precisely controlled threshold voltage in comparison to conventional doped channel devices of the same channel length. In this context, precisely controlled threshold voltage includes the ability to set and possibly tune a VT value that provides significant improvement or reduction of σVT. This structure and methods of making it can allow FET transistors that have a low operating voltage as compared to conventional devices. One embodiment includes a nanoscale FET structure operable to have a depletion zone or region (i.e. deeply depleted channel, DDC) that extends from a gate to a highly doped screening layer set at a depth below the gate greater than one-half the gate length. In one embodiment, a channel region near the gate is substantially undoped as compared to a high concentration screening region located at a distance of at least ½ the gate length below the gate. This provides a substantially undoped channel region or layer (concentration of less than 5×1017 atoms/cm3 and typically formed as an epitaxially grown silicon layer) paired with a highly doped screening region or layer. Together, in operation, these structures act to define a deeply depleted zone or region that terminates electric fields emanating from the gate when a voltage that is approximately equal to or greater than the threshold voltage is applied to the gate.


In certain embodiments the screening layer is positioned to avoid direct contact with the source and the drain. In certain other embodiments, it may be formed as a sheet extending under multiple source/drain/channel regions, while in other embodiments it may be a self aligned implant or layer coextensive with the channel region. The screening region thickness can typically range from 5 to 50 nanometers. The screening region is highly doped relative to the channel, the threshold voltage tuning region (if provided), and the P-well. In practice, the screening region is doped to have a concentration between 1×1018 and 1×1020 atoms/cm3. In certain embodiments, dopant migration resistant layers of carbon, germanium, or the like can be applied above screening region to prevent dopant migration toward the undoped channel and gate.


While threshold voltage is primarily set by the combination of gate work function, body bias, channel thickness, and depth and dopant concentration of the screening layer, small adjustments to threshold voltage are possible by optional provision of a separate epitaxially grown silicon layer adjacent to the screening region. Such a threshold voltage tuning region has a dopant concentration less than the dopant concentration of the screening region. For typical applications the threshold voltage tuning region is doped to have average concentrations ranging from between 5×1017 and 2×1019 atoms/cm3. When present, the threshold tuning region thickness can typically range from 5 to 50 nanometers in thickness. In certain embodiments, dopant migration resistant layers of carbon, germanium, or the like can be applied above and/or below the threshold voltage tuning region to prevent dopant migration into the channel region, or alternatively, from the screening region into the threshold voltage tuning region.


As will be appreciated, DDC depth (Xd) is established by the depth of the screening layer below the gate, and is typically one half of the gate length (i.e. ½ Lg), possibly equal to gate length (i.e. Lg) or to intermediate fractions thereabout (e.g. ¾ Lg). In one example, DDC depth may be set greater than or about equal to one-half the channel length Lg, which in operation allows for precise setting of the threshold voltage even at low operating voltages below one volt. Depending on the requirements of a particular application, different depths may provide different beneficial results. Given this disclosure, it will be understood that different DDC depths are possible in different applications, different device geometries, and various parameters of particular designs. Depending on the parameters of a particular application, different region thicknesses, dopant concentrations, and operating conditions used in forming the DDC transistor may provide different beneficial results.


As will be discussed, some of the structures and methods relate to a DDC design that can be produced together with legacy transistor devices in a monolithic circuit laid out on the same wafer and die. The DDC can permit CMOS devices having reduced σVT compared to conventional bulk CMOS with highly doped channels, allowing for increased variability of VT. The DDC design also can have a strong body effect compared to conventional bulk CMOS transistors, which can allow for improved body bias assisted control of transistor voltage threshold setting. There are many ways to configure the DDC to achieve different benefits, and additional structures and methods presented herein can be used alone or in conjunction with the DDC to yield additional benefits.


These structures and the methods of making the structures allow for FET transistors having both a low operating voltage and a low threshold voltage as compared to conventional nanoscale devices. Furthermore, DDC transistors can be configured to allow for the threshold voltage to be statically set with the aid of a voltage body bias generator. In some embodiments the threshold voltage can even be dynamically controlled, allowing the transistor leakage currents to be greatly reduced (by setting the voltage bias to upwardly adjust the VT for low leakage, low speed operation), or increased (by downwardly adjusting the VT for high leakage, high speed operation). Ultimately, these structures and the methods of making structures provide for designing integrated circuits having FET devices that can be dynamically adjusted while the circuit is in operation. Thus, transistors in an integrated circuit can be designed with nominally identical structure, and can be controlled, modulated or programmed to operate at different operating voltages in response to different bias voltages, or to operate in different operating modes in response to different bias voltages and operating voltages. In addition, these can be configured post-fabrication for different applications within a circuit.


Certain embodiments and examples are described herein with reference to transistors and highlight the features and benefits that the novel structures and methods provide transistors. However, the applicability of concepts inherent in these examples to structures and methods of producing integrated circuits is expansive and not limited to transistors or bulk CMOS. Accordingly, it will be understood in the art that the spirit and scope of the inventions is not limited to these embodiments and examples or to the claims appended herein and also in related and co-assigned applications, but may be advantageously applied in other digital circuitry contexts.


In the following description, numerous specific details are given of some of the preferred ways in which the inventions may be implemented. It is readily apparent that the inventions can be practiced without these specific details. In other instances, well known circuits, components, algorithms, and processes have not been shown in detail or have been illustrated in schematic or block diagram form in order not to obscure the inventions in unnecessary detail. Additionally, for the most part, details concerning materials, tooling, process timing, circuit layout, and die design have been omitted inasmuch as such details are not necessary to obtain a complete understanding of the inventions as they are considered to be within the understanding of persons of ordinary skill in the relevant art. Certain terms are used throughout the following description and claims to refer to particular system components. Similarly, it will be appreciated that components may be referred to by different names and the descriptions herein are not intended to distinguish between components that differ in name but not function. In the following discussion and in the claims, the terms “including” and “comprising” are used in an open-ended fashion, and thus should be interpreted to mean “including, but not limited to,” for example.


Various embodiments and examples of the methods and structures mentioned above are described herein. It will be realized that this detailed description is illustrative only and is not intended to be in any way limiting. Other embodiments will readily suggest themselves to persons of ordinary skill in the art having the benefit of this disclosure. Reference will be made in detail to embodiments illustrated in the accompanying drawings. The same reference indicators will be used throughout the drawings and the following detailed description to refer to the same or like parts.


In the interest of clarity, not all of the routine features of the implementations and embodiments described herein are shown and described. It will, of course, be appreciated that in the development of any such actual implementation of the inventions herein, numerous implementation-specific decisions will typically be made in order to achieve the developer's specific goals. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking of engineering for those of ordinary skill in the art having the benefit of this disclosure.


Also, concentrations of atoms implanted or otherwise present in a substrate or crystalline layers of a semiconductor to modify physical and electrical characteristics of a semiconductor will be described in terms of physical and functional regions or layers. These may be understood by those skilled in the art as three-dimensional masses of material that have particular averages of concentrations. Or, they may be understood as sub-regions or sub-layers with different or spatially varying concentrations. They may also exist as small groups of dopant atoms, regions of substantially similar dopant atoms or the like, or other physical embodiments. Descriptions of the regions based on these properties are not intended to limit the shape, exact location or orientation. They are also not intended to limit these regions or layers to any particular type or number of process Steps, type or numbers of layers (e.g., composite or unitary), semiconductor deposition, etch techniques, or growth techniques utilized. These processes may include epitaxially formed regions or atomic layer deposition, dopant implant methodologies or particular vertical or lateral dopant profiles, including linear, monotonically increasing, retrograde, or other suitable spatially varying dopant concentration. The embodiments and examples included herein may show specific processing techniques or materials used, such as epitaxial and other processes described below and illustrated in FIGS. 7-30. These examples are only intended as illustrative examples, and are not nor should they be construed as limiting. The dopant profile may have one or more regions or layers with different dopant concentrations, and the variations in concentrations and how the regions or layers are defined, regardless of process, may or may not be detectable via techniques including infrared spectroscopy, Rutherford Back Scattering (RBS), Secondary Ion Mass Spectroscopy (SIMS), or other dopant analysis tools using different qualitative or quantitative dopant concentration determination methodologies.


In one embodiment, a building block for low power circuits can be configured with low power transistors, such as for example a low power field effect transistor as provided herein that is operable at a voltage Vdd of less than 0.9 volts. In one example, the transistor may include a polysilicon gate with a gate length less than 100 nanometers, where the gate includes a polysilicon layer and a dielectric layer. The device further includes a low doped epitaxial channel contacting the dielectric layer of the polysilicon gate. A highly doped screening layer may be positioned in a manner to extend below low doped epitaxial channel and above a transistor body. The screening layer may be treated to reduce dopant diffusion into the low doped epitaxial channel, as discussed in more detail below. The device includes a source and a drain, with a low doped epitaxial channel extending between the source and drain.


In certain embodiments, a body tap may also be included to permit application of a body bias voltage to the transistor body. Body biasing relies on the body effect phenomenon to modulate the VT of a MOSFET, and is commonly quantified as a body effect coefficient. As will be appreciated, forward biasing (FBB) the body with respect to the source reduces VT, increasing transistor speed. However, because of the exponential dependence of leakage on VT, it also leads to a large increase in power usage. Similarly, reverse body bias (RBB) reduces leakage at the cost of reduced speed and increased delay. In certain embodiments, application of a body bias permits increase of threshold voltage VT to a value greater than 0.3 volts for example.


Body taps, schematically illustrated as a connection between a body bias generator and a transistor body, may be applied to individual devices, groups of devices, or entire circuits or sub-circuits on a given integrated circuit depending on the application. According to these embodiments, improved σVT allows for a stronger body bias coefficient, that in turn allows for improved changes in VT. In prior art systems, body bias coefficient was improved by highly doping the channel, which resulted in a wide and undesirable range of σVT. Thus, a high threshold voltage was required for such devices to operate with body bias mediated control. According to the embodiments described herein, devices, systems and methods are provided that allow for greatly improved σVT, and also provide improved and strong body bias coefficient. Thus, a wide range of adjustable VT is possible with a strong body bias, resulting in a better performing device and system that operates at lower power.



FIG. 1 shows an exemplary SoC 100 configured with several diverse digital and analog transistor configurations that can be incorporated into a device using the methods described herein. According to the methods and processes discussed herein, a system having a variety of combinations of novel and legacy transistor devices and structures can be produced on silicon using bulk CMOS. The SoC 100 includes DDC digital logic devices 102, legacy digital logic devices 104, DDC analog devices 106, legacy analog devices 108, and legacy input and output (I/O) analog circuits and systems 110, and possibly other devices, which may be interconnected to each other within the circuit via a common bus 114, wire traces (not shown), or other interconnections. The devices are formed or otherwise processed as bulk CMOS on a common substrate 115, typically silicon or other similar substrate.


The SoC 100 includes at least one or more devices 106 that have DDC cross-section profiles, an example of which is shown here as a variety of both analog and digital transistors 120, 130, 140, 150, all of which can be formed together on substrate 115. The first device 120 is a digital transistor having a gate stack 122 and spacers, source and drain 124/126, a shallow well 127 (or body of the transistor) underneath a deeply depleted channel 128 and screening layer 129 that extends between the shallow trench isolated (STI) structures 117. The significance of this profile is the low power characteristic possible by this and other devices by virtue of the deeply depleted channel and screening layer. Another digital device 130 has a gate stack 132 and spacers, source and drain 134/136 and a shallow well 137 underneath a deeply depleted channel 138. Unlike device 120, this digital device 130 has a screening layer 139 that, along with DDC 138, extends between the source and drain 134/136. Like device 120, the significance of this profile is the low power characteristic possible by this and other devices by virtue of the deeply depleted channel and screening layer.


The third and fourth devices from the left are analog devices that share some of the physical characteristics of the digital devices in their channel regions, providing power saving features for these and other similar analog devices. Analog device 140 is a digital transistor having a gate stack 142 and spacers, source and drain 144/146, a shallow well 147 underneath a deeply depleted channel 148 and screening layer 149 that extends between the STI Structures 117. Like the digital devices described above, the significance of this analog device profile is that the low power characteristic possible by this and other analog devices by virtue of the deeply depleted channel and screening layer. Another analog device 150 has a gate stack 152 and spacers, source and drain 154/156 and a shallow well 157 underneath a deeply depleted channel 158. Unlike device 140, this digital device 150 has a screening layer 159 that, along with DDC 158, extends between the source and drain 154/156. Like device 140, the significance of this profile is the low power characteristic possible by this and other devices by virtue of the deeply depleted channel and screening layer.


In some applications, there may be the desire to apply a bias voltage to the body 127 of the transistor, such as bias voltage source 160 shown in FIG. 1. According to one embodiment, the VT of a given device or multiple devices may be dynamically set by applying a bias voltage to the body. Thus, and again, body taps, schematically illustrated as a connection between bias voltage source 160 and a transistor, may be applied to individual devices, groups of devices, or entire circuits or sub-circuits on a given integrated circuit depending on the application. According to these embodiments, improved σVT allows for a stronger body bias coefficient that allows for improved changes in VT.


Referring to FIG. 2, a brief process flow chart 200 for producing DDC structures for analog and digital device is illustrated. These along with other process Steps allow for the processing and manufacture of integrated circuits that include DDC structured devices together with legacy devices, allowing for designs to cover a full range of analog and digital devices with improved performance and lower power. And, the novel structures can be formed together with legacy devices using well known processing techniques and design rules. In the first Step 202, if digital DDC devices are included in a process, a digital screen is implanted for both P-type and N-type devices. In the next Step, 204, if analog DDC type devices are included, analog screening is performed for both P-type and N-type analog DDC devices.


In practice, amorphizing silicon is performed to assisting in the activation and substitutionality of dopants. Since amorphous silicon cannot grow epitaxial layers, it must be returned to a crystalline state. So, in Step 206, low temperature re-crystallization is performed.


In Step 208, an optional Step of diffusion prevention may be performed. It is noted here that steps for preventing diffusion of dopants can be used along with different steps while manufacturing integrated circuits. Since undesired diffusion of dopants may occur at one or a number of steps in a given process flow, a designer may find it useful to perform diffusion prevention steps before, during or after any number of steps during circuit processing.


Epitaxial (EPI) deposition is then performed in Step 210. These Steps together with other Steps that are known in the art of semiconductor processing provide a novel and useful means to produce improved integrated circuits and SoC with improved and low power structures.


Referring to FIG. 3, a graph is shown with a range of different dopant profiles according to one embodiment. These ranges illustrated and the parameters set out are intended as examples, and those skilled in the art will understand that the benefits of the embodiments described and illustrated herein are attainable generally about or within those ranges.


In practice, designers and manufacturers gather statistical data from mathematical models and sample measurements from actual circuits to determine the variance of threshold voltages of a circuit design. The voltage differential mismatch between transistors, whether derived from manufacturing variations or RDFs, is determined as σVT. In order for the circuit as a whole to operate, the operating voltage VDD must be chosen in view of σVT. Generally the larger the variance, the higher the σVT, such that the operating voltage VDD must be set higher for the transistor to operate properly. With multiple device implemented across a circuit, VDD may need to be set at the highest overall value in order for the circuit to operate properly.


A structure and method of its production are provided that reduces σVT, reducing the range of variance of the threshold voltage of the transistors across the integrated circuit. With reduced σVT, the static value of VT can be set more precisely and can even be varied in response to a changing bias voltage. The threshold voltage for nominally identical devices across a circuit may be more accurately set with decreased σVT, thus allowing the device to operate using a lower operating voltage VDD and, therefore, consume less power. Moreover, with more headroom to vary VT for a given transistor or group of transistors, devices can be operated at different modes corresponding to different bias voltages for particular modes. This may add functionality to many devices and systems and may particularly benefit devices where fine control of device power modes is useful.


Referring to FIG. 4, one example of a production process for an integrated circuit, such as a SoC, is illustrated in a process flow chart 400. In the first Step 402, a P-type screening layer is formed, possibly by implant, which may act as a screening or VT setting layer for a device in the integrated circuit. Next in Step 404, an N-type screening layer is formed, possibly by implant, which may act as a screening or VT setting layer for another device in the integrated circuit. In Step 406, a low temperature re-crystallization is performed.


In Step 408, a diffusion prevention treatment is performed. While dopants can be implanted or co-deposited during epitaxial growth, further high temperature processing can promote dopant diffusion through the silicon lattice. High temperature process steps required to form transistor structures can result in dopant atoms moving from a screening layer into the previously undoped channel, or even migrate into a gate oxide. There are several methods provided herein to address the prevention of dopant diffusion in different process flows, such as for example when thermal annealing procedures are performed in a process.


In one method, carbon can be introduced into the screening via implant or the growth of a silicon carbide (SiC) epitaxial layer. During annealing for example, substitutional carbon traps (via a kick-out mechanism) any mobile carriers such as boron or phosphorus. The addition of carbon helps to prevent diffusion during the high thermal cycles a polysilicon gate transistor typically experiences.


Indium is known to form a cluster with boron which is not mobile. However, this also leads to low dopant activation of boron. Thus, methods to achieve both high activation and low diffusion include the co-implantation of indium and boron. Several examples are included herein, and others are possible given this disclosure, including these examples and other processes used together in different combinations. In one exemplary process, co-implantation of indium and boron can be performed such that their peaks align. Different ratios between the peaks of indium and boron along with anneal options such as Flash and Laser will result in high concentration and sharp profiles. In another example, co-implantation of indium and boron can be performed such that the peak of indium is closer to the surface then boron. Boron diffusing to the surface will be slowed by the indium while the screening and VT layer will achieve high activation. In yet another example, co-implantation of indium and born can be performed such that the peak of the indium is closer to the substrate then the boron. This will prevent indium from diffusing into the substrate allowing high concentration to exist in the screening layer.


While carbon is useful in preventing migration of boron or other dopants, carbon itself may migrate into the undoped channel, increasing scattering and reducing channel mobility. To prevent carbon diffusion, the following procedure can be useful. If carbon and boron are co-implanted into amorphous silicon, a low temperature anneal may be used to re-grow the silicon layer. During this low temperature anneal, carbon re-grows substitutionally. This is because, when a process begins with crystal on silicon, it needs to be made amorphous, or amorphized, for processing so that is no longer in a crystalline state. It must then after be annealed to place it back in the crystalline state, or re-crystallized. Re-growth of crystal on silicon from the amorphous state can then be achieved. With carbon located in interstitial locations in the crystal lattice, carbon will substitute silicon atoms in the lattice. Thus, carbon re-grows substitutionally.


This re-growth results in a large concentration of silicon interstitials. With subsequent anneals, these interstitials diffuse rapidly towards the surface and pull boron from the screening region into the channel region. Additionally, the substitutional Carbon becomes interstitial via a kick-out mechanism which retards the boron diffusion. This interstitial carbon also diffuses towards the surface and would normally cause mobility degradation of the channel and create undesired surface states.


However, in this process embodiment, as the boron, excess interstitials and carbon move to the surface, a high temperature anneal followed by oxidation or a high temperature oxidation acts to consume boron, carbon and interstitial concentration that have moved to the surface. The oxidation process will create additional interstitials, so this oxidation needs to be thin (˜2 nm). The oxide is then stripped and the undoped silicon channel is epitaxially grown on the contamination free surface. The undoped epi has reduced exposure to the mobile carbon and boron which has been removed from the system via the oxide growth and strip. Additionally, a similar oxidation can be used after the EPI growth before the gate oxidation. This additional oxidation can be in addition to the first oxidation or instead of the first oxidation.


During implantation, significant damage is introduced into the silicon. The resulting interstitials assist the Boron in diffusing quickly. According to one embodiment, by removing the implant damage, the interstitials can be eliminated allowing for less diffusion and more abrupt junctions. Two methods of achieving this are plasma implantation and doped spin on glass. In a spin on glass process, a high dose of silicon dioxide is placed on the surface of the silicon. For plasma implantation, a high dose of highly doped plasma is deposited on the surface. Here, there is no penetration or deposition into the substrate, no implantation occurs. When annealed, dopants are drawn in at the solid solubility, where the higher temperature causes a higher solid solubility. Dopants can then be affected by thermally annealing to draw in more dopants without damaging the silicon structure. The result is a higher doping achieved with abrupt junctions, and damage to the silicon is greatly reduced.


In one embodiment, SiGe can be used to slow the diffusion of boron from the screening layer into the channel. SiGe can be deposited on top of the substrate. Dopants can be implanted in the substrate or directly co-deposited during epitaxial growth of the SiGe layer. A Si layer is still deposited as the channel. The SiGe prevents diffusion from these doped layers into the Si channel.


Delta doping of C/N/Ge/Cl/F can be used at the interface between the screening layer and the EPI layer. This layer serves to prevent dopant diffusion across the layer. This layer also minimizes the amount of dopant in the system that could diffuse into the channel of the device or segregate into the gate.


Source/drain and source/drain extensions may incur damage from the formation of the DDC channel area. Due to polysilicon requiring both deep implants and high thermal anneals to prevent poly depletion, damage and dopants introduced to the channel area via lateral straggle can create large diffusion from the DDC channel stack into the channel (via interstitials or co-diffusion effects). As poly depletion effects cannot be sacrificed, there is no way to lower the implant energy/dose or the anneal criteria. Two methods to keep channel doping from getting to the DDC channel stack is the use of RSD and secondary spacers.


A second spacer can be used to increase the lateral distance from the SD implant and the DDC channel dose to prevent damage to silicon when implanting dopants. This spacer may or may not be removed after the SD implant and before salicidation. With increased lateral Si between the Si and the DDC channel, there is a decrease in the effect of lateral straggle.


Referring again to FIG. 4, the next Step after diffusion prevention treatment is EPI deposition in Step 410. Next, in Steps 412 and 414 respectively, P-wells and N-wells are implanted. Next, in Steps 416 and 418 respectively, analog P-type and N-type VT layers are implanted. STIs are then formed in Step 420 to separate the different devices from each other down to the shallow wells.


Next—depending on the type of device—single, double or triple gate oxidation is performed in Step 422. In practice, digital devices require only a thin single gate oxide layer, where analog devices have thicker double or triple gate oxide layers.


In Step 424, a polysilicon (polygate) layer is formed, followed by P-type Lightly Doped Drain (LDD) formed in Step 426, and N-type LDD formed in Step 428. These LDD, or tips, extend under the gate spacers.


Spacers are formed in Step 430. P+ and N+ implants are then performed in Steps 432 and 434. Silicide is then processed in Step 436, followed by contacts formed in Step 438.


Referring to FIG. 5, one example of a production process for an integrated circuit, such as a SoC, is illustrated in a process flow chart 500. In the first Step 502, a P-type screening layer is formed, possibly by implant, which may act as a screening or VT setting layer for a device in the integrated circuit. Next in Step 504, an N-type screening layer is formed, possibly by implant. In Step 506, a P-type analog screening layer is implanted, followed by an N-type analog screening layer implanted in Step 508. A low temperature re-crystallization is then performed in Step 510.


Next, in Step 512, EPI deposition is performed. In Steps 514 and 516 respectively, P-wells and N-wells are implanted. In Steps 518 and 520 respectively, P-type and N-type VT layers are implanted. In steps 522 and 524, analog P-type and N-type VT layers are implanted. STIs are then formed in Step 526 to separate the different devices from each other down to the shallow wells.


Next—depending on the type of device—single, double or triple gate oxidation is performed in Step 528. In practice, digital devices require only a thin single gate oxide layer, where analog devices have thicker double or triple gate oxide layers. In Step 530, a polygate layer is formed, followed by P-type LDD formed in Step 532, and N-type LDD formed in Step 534. Spacers are formed in Step 536. P+ and N+ implants are then performed in Steps 538 and 540. Silicide is then processed in Step 542, followed by contacts formed in Step 544.


Referring to FIG. 6, one example of a production process for an integrated circuit, such as a SoC, is illustrated in a process flow chart 600. In the first Step 602, P-type shallow wells are formed, possibly by implant. Next in Step 604, P-type shallow wells are formed, possibly by implant. In Steps 606 and 608, P-type and N-type screening layers are formed, possibly by implant. Next, in steps 610 and 612, P-type and N-type analog screening layers are formed, possibly by implant. In Step 614, a low temperature re-crystallization is performed. Epitaxial (epi) deposition is performed in Step 616. STIs are then formed in Step 618 to separate the different devices from each other down to the shallow wells.


Next—depending on the type of device—single, double or triple gate oxidation is performed in Step 620. In practice, digital devices require only a thin single gate oxide layer, where analog devices have thicker double or triple gate oxide layers.


In Step 622, a polysilicon gate (polygate) layer is formed, followed by P-type LDD formed in Step 624, and N-type LDD formed in Step 626. Spacers are formed in Step 628. P+ and N+ implants are then performed in Steps 630 and 632. Silicide is then processed in Step 634, followed by contacts formed in Step 636.


Referring to FIGS. 7-30 collectively, one example of a process configured for improved power savings is shown in a process profile diagram. The process starts with a silicon wafer 700, which is typically used to form multiple integrated circuits thereon. FIGS. 7-30 will show one example of the processing of several different circuit components by way of a progressive series of cross-sections of a silicon wafer. There is cross-over and removal of different structures as the process progresses, where some of the structures are substituted or otherwise eliminated. Accordingly, labels in the progressive figures will necessarily be eliminated, thus not all numbering labels will not be shown in all figures through to the end. This example will illustrate component devices including a PMOS DDC logic transistor, an NMOS DDC logic transistor, a PMOS DDC analog transistor, an NMOS DDC analog transistor, a PMOS legacy logic transistor, an NMOS legacy logic transistor, a PMOS legacy analog transistor and an NMOS legacy logic transistor. Those skilled in the art will understand that different combinations and permutations of these and other devices are possible given the examples described herein, and also that the following example is merely for illustrative purposes.



FIG. 7 a substrate 700, such as silicon. This process will illustrate exemplary process steps for forming eight different devices in this order beginning from the left and ordered to the right of this series of figures:

    • a PMOS DDC digital logic device 702;
    • an NMOS DDC digital logic device 704;
    • a PMOS DDC analog device 706;
    • an NMOS DDC analog device 708;
    • a PMOS legacy digital logic device 710;
    • an NMOS legacy digital logic device 712;
    • a PMOS legacy analog device 714; and
    • an NMOS legacy analog device 716.



FIG. 8 shows an implanted P-type doping screening layer 800 formed for a PMOS DDC logic device on the substrate 700.



FIG. 9 shows a N-type doping screening layer formed for a NMOS DDC logic device on substrate 700 for another device.



FIG. 10 shows an implanted Analog P-type screening layer for a PMOS DDC analog device.



FIG. 11 shows an implanted Analog N-type screening layer for an NMOS DDC analog device.



FIG. 12 shows an EPI deposition. Though an undoped epitaxial channel is contemplated, selective masking may be implemented in order to provide certain transistor elements with a doped channel layer.



FIG. 13 shows implanted P-wells for various P-type devices, such as the PMOS DDC digital logic device, PMOS DDC analog device, PMOS legacy digital logic device, and PMOS legacy analog device to be formed in this example.



FIG. 14 shows implanted N-Wells 1402, 1404, 1406, 1408, for various N-type devices, such as the NMOS DDC digital logic device, NMOS DDC analog device, NMOS legacy digital logic device and NMOS legacy analog device to be formed in this example.



FIG. 15 shows an implanted P-type threshold voltage layer (VT) 1500 for use in a PMOS legacy digital logic device of this example.



FIG. 16 shows an implanted N-type VT layer 1600 for use in the PMOS legacy digital logic device of this example.



FIG. 17 shows an implanted analog P-type VT layer 1700 for the PMOS legacy analog device in this example.



FIG. 18 shows an implanted analog N-type VT layer 1800 for the NMOS legacy analog device in this example.



FIG. 19 shows STI formations 1902-1918 located between, down through the shallow wells of each device.



FIG. 20 shows gate oxidation layers 2002 CON1-2016 performed on each device, including single, double and triple gate oxidation layers for different devices. In this example, thin single oxidation layers are formed for digital devices, and relatively thicker double or triple oxidation for analog devices.



FIG. 21 shows a polygate layer 2100 formed over all devices.



FIG. 22 shows the selective removal of the polygate and oxidation layers to form separate gates and corresponding gate oxide layers 2202-2232.



FIG. 23 shows the forming of P-type LDD 2302-2308 on two digital devices, the NMOS DDC digital logic device and NMOS legacy digital logic device in this example.



FIG. 24 shows the formation of N-type LDD 2402-2408 on two other digital devices, the PMOS DDC digital logic device and PMOS legacy digital logic device in this example.



FIG. 25 shows the formation of P-type LDD 2502-2508 on two analog devices, the PMOS DDC analog device and PMOS legacy analog device in this example.



FIG. 26 shows the formation of N-type LDD 2602-2604 on two other analog devices, the NMOS DDC analog device and NMOS legacy analog device in this example.



FIG. 27 shows the formation of spacers 2702-2732 for each gate stack.



FIG. 28 shows the implantation of P-type material 2802-2824 in P-type digital and analog devices, including the PMOS DDC logic device, the PMOS DDC analog device, the PMOS legacy logic device and PMOS legacy analog device in this example.



FIG. 29 shows the implantation of N-type material 2902-2924 in N-type digital and analog devices, including the NMOS DDC digital logic device, NMOS DDC analog device, the NMOS legacy digital logic device, and the NMOS legacy analog device in this example.



FIG. 30 illustrates deposition of silicide 3002 CON1-3048 on each device's exposed source, gate and drain. Body bias 3050 communicating with the well or body of device 702 is included to illustrate the possibility of biasing the body of any one of the transistors in order to control the VT of one or more devices. Thus, the devices formed in FIG. 30 include:

    • a PMOS DDC digital logic device 702;
    • an NMOS DDC digital logic device 704;
    • a PMOS DDC analog device 706;
    • an NMOS DDC analog device 708;
    • a PMOS legacy digital logic device 710;
    • an NMOS legacy digital logic device 712;
    • a PMOS legacy analog device 714; and
    • an NMOS legacy analog device 716.


There are many different approaches to processing these novel features and structures. Those skilled in the art will understand that, given this disclosure, there are numerous variations on the particular manufacturing process steps and parameters for making such circuits. The following are examples.


In one exemplary process, and oxide layer is formed in a temperature range of 700 to 900° C. over a period of 0 to 60 seconds.


For the PMOS well implants, the P+ implant may be implanted within a range from 10 to 80 keV, and at concentrations from 1×1013 to 8×1013/cm2. As+ may be implanted within a range of 5 to 60 keV, and at concentrations from from 1×1013 to 8×1013/cm2.


For NMOS well implants, the boron implant B+ implant may be within a range of 0.5 to 5 keV, and within a concentration range of 1×1013 to 8×1013/cm2. A germanium implant Ge+, may be performed within a range of 10 to 60 keV, and at a concentration of 1×1014 to 5×1014/cm2. A carbon implant, C+, may be performed at a range of 0.5 to 5 keV, and at a concentration of 1×1013 to 8×1013/cm2.


A low temperature recrystallization anneal may be performed at a range of 550-600° C., over a period of 30 to 150 seconds.


A high temperature defect elimination anneal may be performed within a range of 900 to 1025° C. over a period of 0 to 10 seconds.


An EPI deposition of intrinsic Si may be performed within a range of 500 to 700° C., and at a thickness of 10 to 75 nm.


A shallow trench isolation, trench patterning, trench etch, sacrificial oxidation, trench fill, trench polish may be performed also.


Standard CMOS processing beyond this point including all standard thermal cycles, such as for example poly-Si deposition/etch, SPCR deposition/etch, multiple spike anneals, and other processes may be performed within a range of 900 to 1025° C.


In another process, where some devices on the wafer are DDC type devices and others are non-DDC type devices, a process may include the same process flow as that described immediately above, where some implants may be selectively masked over certain devices that do not need DDC process.


In yet another process, a process may include the same process flow as that described immediately above, where some implants are selectively performed after an EPI deposition instead of before an EPI deposition in order to form non-DDC configured devices in those regions.


While certain exemplary embodiments have been described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative of and not restrictive on the broad invention, and that this invention not be limited to the specific constructions and arrangements shown and described, since various other modifications may occur to those ordinarily skilled in the art. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

Claims
  • 1. An integrated circuit having transistor devices of a plurality of device types formed on a substrate, comprising: a first screening layer for a first device type, the first screening layer being positioned below a first gate insulator of the first device type, the first screening layer haying a first dopant concentration;a second screening layer for a second device type, the second screening layer being positioned below a second gate insulator of the second device type, the second screening layer having a second dopant concentration;a threshold voltage layer for a third device type, the threshold voltage layer being positioned below a third gate insulator of the third device type, the threshold voltage layer having a third dopant concentration;a first substantially undoped layer for the first device type being positioned above and adjacent to the first screening layer;a second substantially undoped layer for the second device type being positioned above and adjacent to the second screening layer,a shallow trench isolation isolating the first device type, the second device type and the third device type;a first source and drain region for the first device type penetrating the first substantially undoped layer and the first screening layer;a second source and drain region for the second device type penetrating the second substantially undoped layer and the second screening layer,wherein a thickness of the first gate insulator is different from a thickness of the second gate insulator and a depth position of the threshold voltage layer is different from each of a depth of position of the first screening layer and the second screening layer.
  • 2. The integrated circuit of claim 1, wherein the first screening layer for the first device type further includes a first P-type screening layer for a first transistor element of the first device type, and a first N-type screening layer for a second transistor element of the first device type.
  • 3. The integrated circuit of claim 1, further comprising: a body tap operable to apply a body bias voltage to a body of the first transistor element of the first device type.
  • 4. The integrated circuit of claim 1, wherein the second screening layer for the second device type further includes a second P-type screening layer for a first transistor element of the second device type, and a second N-type screening layer for a second transistor element of the second device type.
  • 5. The integrated circuit of claim 1, further comprising: a body tap operable to apply a bias voltage to a body of the first transistor element of the second device type.
  • 6. The integrated circuit of claim 1, further comprising: one of a single, double, or triple gate oxidation layers for each device.
  • 7. The integrated circuit of claim 1, wherein a thickness of the first substantially undoped layer is same to a thickness of the second substantially undoped layer.
  • 8. The integrated circuit of claim 1, further comprising: a first Lightly Doped Drain (LDD) for the first device type and a second LDD for the second device type, a depth position of the first LDD is different from a depth position of the second LDD.
  • 9. The integrated circuit of claim 8, further comprising: a third Lightly Doped Drain for the third device type, a depth position of the third LDD is same to the depth position of the second LDD.
  • 10. The integrated circuit of claim 1, wherein a thickness of the second gate insulator is same to a thickness of the third gate insulator.
  • 11. The integrated circuit of claim 1, wherein the first device type is a Deeply Depleted Channel (DDC) logic device, the second device type is a DDC analog device, the third device type is a legacy analog device.
  • 12. The integrated circuit of claim 1, further comprising a dopant migration resistant layer between the first screening layer and the first substantially undoped layer.
  • 13. The integrated circuit of claim 12, wherein the dopant migration resistant layer includes carbon.
  • 14. The integrated circuit of claim 12, wherein the dopant migration resistant layer further includes SiGe deposited before forming the substantially undoped layer.
CROSS REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. application Ser. No. 13/969,938 filed Aug. 19, 2013, which is a continuation of U.S. application Ser. No. 12/971,884 filed Dec. 17, 2010; which claims the benefit of U.S. Provisional Application No. 61/323,255 filed Apr. 12, 2010 all of which are incorporated herein by reference.

US Referenced Citations (489)
Number Name Date Kind
3958266 Athanas May 1976 A
4000504 Berger Dec 1976 A
4021835 Etoh et al. May 1977 A
4242691 Kotani et al. Dec 1980 A
4276095 Beilstein, Jr. et al. Jun 1981 A
4315781 Henderson Feb 1982 A
4518926 Swanson May 1985 A
4559091 Allen et al. Dec 1985 A
4578128 Mundt et al. Mar 1986 A
4617066 Vasudev Oct 1986 A
4662061 Malhi May 1987 A
4761384 Neppl et al. Aug 1988 A
4780748 Cunningham et al. Oct 1988 A
4819043 Yazawa et al. Apr 1989 A
4885477 Bird et al. Dec 1989 A
4908681 Nishida et al. Mar 1990 A
4945254 Robbins Jul 1990 A
4956311 Liou et al. Sep 1990 A
5034337 Mosher et al. Jul 1991 A
5144378 Hikosaka Sep 1992 A
5156989 Williams et al. Oct 1992 A
5156990 Mitchell Oct 1992 A
5166765 Lee et al. Nov 1992 A
5208473 Komori et al. May 1993 A
5294821 Iwamatsu Mar 1994 A
5298763 Shen et al. Mar 1994 A
5369288 Usuki Nov 1994 A
5373186 Schubert et al. Dec 1994 A
5384476 Nishizawa et al. Jan 1995 A
5426328 Yilmaz et al. Jun 1995 A
5444008 Han et al. Aug 1995 A
5552332 Tseng et al. Sep 1996 A
5559368 Hu et al. Sep 1996 A
5608253 Liu et al. Mar 1997 A
5622880 Burr et al. Apr 1997 A
5624863 Helm et al. Apr 1997 A
5625568 Edwards et al. Apr 1997 A
5641980 Yamaguchi et al. Jun 1997 A
5663583 Matloubian et al. Sep 1997 A
5712501 Davies et al. Jan 1998 A
5719422 Burr et al. Feb 1998 A
5726488 Watanabe et al. Mar 1998 A
5726562 Mizuno Mar 1998 A
5731626 Eaglesham et al. Mar 1998 A
5736419 Naem Apr 1998 A
5753555 Hada May 1998 A
5754826 Gamal et al. May 1998 A
5756365 Kakumu May 1998 A
5763921 Okumura et al. Jun 1998 A
5780899 Hu et al. Jul 1998 A
5847419 Imai et al. Dec 1998 A
5856003 Chiu Jan 1999 A
5861334 Rho Jan 1999 A
5877049 Liu et al. Mar 1999 A
5885876 Dennen Mar 1999 A
5889315 Farrenkopf et al. Mar 1999 A
5895954 Yasumura et al. Apr 1999 A
5899714 Farrenkopf May 1999 A
5918129 Fulford, Jr. et al. Jun 1999 A
5923067 Voldman Jul 1999 A
5923987 Burr Jul 1999 A
5936868 Hall Aug 1999 A
5946214 Heavlin et al. Aug 1999 A
5985705 Seliskar Nov 1999 A
5989963 Luning et al. Nov 1999 A
6001695 Wu Dec 1999 A
6020227 Bulucea Feb 2000 A
6043139 Eaglesham et al. Mar 2000 A
6060345 Hause et al. May 2000 A
6060364 Maszara et al. May 2000 A
6066533 Yu May 2000 A
6072217 Burr Jun 2000 A
6087210 Sohn Jul 2000 A
6087691 Hamamoto Jul 2000 A
6088518 Hsu Jul 2000 A
6091286 Blauschild Jul 2000 A
6096611 Wu Aug 2000 A
6103562 Son et al. Aug 2000 A
6121153 Kikkawa Sep 2000 A
6147383 Kuroda Nov 2000 A
6153920 Gossmann et al. Nov 2000 A
6157073 Lehongres Dec 2000 A
6175582 Naito et al. Jan 2001 B1
6184112 Maszara et al. Feb 2001 B1
6190979 Radens et al. Feb 2001 B1
6194259 Nayak et al. Feb 2001 B1
6198157 Ishida et al. Mar 2001 B1
6218892 Soumyanath et al. Apr 2001 B1
6218895 De et al. Apr 2001 B1
6221724 Yu et al. Apr 2001 B1
6229188 Aoki et al. May 2001 B1
6232164 Tsai et al. May 2001 B1
6235597 Miles May 2001 B1
6245618 An et al. Jun 2001 B1
6268640 Park et al. Jul 2001 B1
6271070 Kotani et al. Aug 2001 B2
6271551 Schmitz et al. Aug 2001 B1
6288429 Iwata et al. Sep 2001 B1
6297132 Zhang et al. Oct 2001 B1
6300177 Sundaresan et al. Oct 2001 B1
6313489 Letavic et al. Nov 2001 B1
6319799 Ouyang et al. Nov 2001 B1
6320222 Forbes et al. Nov 2001 B1
6323525 Noguchi et al. Nov 2001 B1
6326666 Bernstein et al. Dec 2001 B1
6335233 Cho et al. Jan 2002 B1
6358806 Puchner Mar 2002 B1
6380019 Yu et al. Apr 2002 B1
6391752 Colinge et al. May 2002 B1
6426260 Hshieh Jul 2002 B1
6426279 Huster et al. Jul 2002 B1
6432754 Assaderaghi et al. Aug 2002 B1
6444550 Hao et al. Sep 2002 B1
6444551 Ku et al. Sep 2002 B1
6449749 Stine Sep 2002 B1
6461920 Shirahata Oct 2002 B1
6461928 Rodder Oct 2002 B2
6472278 Marshall et al. Oct 2002 B1
6482714 Hieda et al. Nov 2002 B1
6489224 Burr Dec 2002 B1
6492232 Tang et al. Dec 2002 B1
6500739 Wang et al. Dec 2002 B1
6503801 Rouse et al. Jan 2003 B1
6503805 Wang et al. Jan 2003 B2
6506640 Ishida et al. Jan 2003 B1
6518623 Oda et al. Feb 2003 B1
6521470 Lin et al. Feb 2003 B1
6534373 Yu Mar 2003 B1
6541328 Whang et al. Apr 2003 B2
6541829 Nishinohara et al. Apr 2003 B2
6548842 Bulucea et al. Apr 2003 B1
6551885 Yu Apr 2003 B1
6552377 Yu Apr 2003 B1
6573129 Hoke et al. Jun 2003 B2
6576535 Drobny et al. Jun 2003 B2
6600200 Lustig et al. Jul 2003 B1
6620671 Wang et al. Sep 2003 B1
6624488 Kim Sep 2003 B1
6627473 Oikawa et al. Sep 2003 B1
6630710 Augusto Oct 2003 B1
6660605 Liu Dec 2003 B1
6662350 Fried et al. Dec 2003 B2
6667200 Sohn et al. Dec 2003 B2
6670260 Yu et al. Dec 2003 B1
6693333 Yu Feb 2004 B1
6730568 Sohn May 2004 B2
6737724 Hieda et al. May 2004 B2
6743291 Ang et al. Jun 2004 B2
6743684 Liu Jun 2004 B2
6751519 Satya et al. Jun 2004 B1
6753230 Sohn et al. Jun 2004 B2
6760900 Rategh et al. Jul 2004 B2
6787424 Yu Sep 2004 B1
6797553 Adkisson et al. Sep 2004 B2
6797602 Kluth et al. Sep 2004 B1
6797994 Hoke et al. Sep 2004 B1
6808004 Kamm et al. Oct 2004 B2
6808994 Wang Oct 2004 B1
6813750 Usami et al. Nov 2004 B2
6821825 Todd et al. Nov 2004 B2
6821852 Rhodes Nov 2004 B2
6822297 Nandakumar et al. Nov 2004 B2
6831292 Currie et al. Dec 2004 B2
6835639 Rotondaro et al. Dec 2004 B2
6852602 Kanzawa et al. Feb 2005 B2
6852603 Chakravarthi et al. Feb 2005 B2
6881641 Wieczorek et al. Apr 2005 B2
6881987 Sohn Apr 2005 B2
6891439 Jachne et al. May 2005 B2
6893947 Martinez et al. May 2005 B2
6900519 Cantell et al. May 2005 B2
6901564 Stine et al. May 2005 B2
6916698 Mocuta et al. Jul 2005 B2
6917237 Tschanz et al. Jul 2005 B1
6927463 Iwata et al. Aug 2005 B2
6928128 Sidiropoulos Aug 2005 B1
6930007 Bu et al. Aug 2005 B2
6930360 Yamauchi et al. Aug 2005 B2
6957163 Ando Oct 2005 B2
6963090 Passlack et al. Nov 2005 B2
6995397 Yamashita et al. Feb 2006 B2
7002214 Boyd et al. Feb 2006 B1
7008836 Algotsson et al. Mar 2006 B2
7013359 Li Mar 2006 B1
7015546 Herr et al. Mar 2006 B2
7015741 Tschanz et al. Mar 2006 B2
7022559 Barnak et al. Apr 2006 B2
7036098 Eleyan et al. Apr 2006 B2
7038258 Liu et al. May 2006 B2
7039881 Regan May 2006 B2
7045456 Murto et al. May 2006 B2
7061058 Chakravarthi et al. Jun 2006 B2
7064039 Liu Jun 2006 B2
7064399 Babcock et al. Jun 2006 B2
7071103 Chan et al. Jul 2006 B2
7078325 Curello et al. Jul 2006 B2
7078776 Nishinohara et al. Jul 2006 B2
7089513 Bard et al. Aug 2006 B2
7089515 Hanafi et al. Aug 2006 B2
7091093 Noda et al. Aug 2006 B1
7105399 Dakshina-Murthy et al. Sep 2006 B1
7109099 Tan et al. Sep 2006 B2
7119381 Passlack Oct 2006 B2
7122411 Mouli Oct 2006 B2
7127687 Signore Oct 2006 B1
7132323 Haensch et al. Nov 2006 B2
7169675 Tan et al. Jan 2007 B2
7170120 Datta et al. Jan 2007 B2
7176137 Perug et al. Feb 2007 B2
7186598 Yamauchi et al. Mar 2007 B2
7189627 Wu et al. Mar 2007 B2
7199430 Babcock et al. Apr 2007 B2
7202517 Dixit et al. Apr 2007 B2
7208354 Bauer Apr 2007 B2
7211871 Cho May 2007 B2
7221021 Wu et al. May 2007 B2
7223646 Miyashita et al. May 2007 B2
7226833 White et al. Jun 2007 B2
7226843 Weber et al. Jun 2007 B2
7230680 Fujisawa et al. Jun 2007 B2
7235822 Li Jun 2007 B2
7256639 Koniaris et al. Aug 2007 B1
7259428 Inaba Aug 2007 B2
7260562 Czajkowski et al. Aug 2007 B2
7297994 Wieczorek et al. Nov 2007 B2
7301208 Handa et al. Nov 2007 B2
7304350 Misaki Dec 2007 B2
7307471 Gammie et al. Dec 2007 B2
7312500 Miyashita et al. Dec 2007 B2
7323754 Ema et al. Jan 2008 B2
7332439 Lindert et al. Feb 2008 B2
7348629 Chu et al. Mar 2008 B2
7354833 Liaw Apr 2008 B2
7380225 Joshi et al. May 2008 B2
7398497 Sato et al. Jul 2008 B2
7402207 Besser et al. Jul 2008 B1
7402872 Murthy et al. Jul 2008 B2
7416605 Zollner et al. Aug 2008 B2
7442971 Wirbeleit et al. Oct 2008 B2
7449733 Inaba et al. Nov 2008 B2
7469164 Du-Nour Dec 2008 B2
7470593 Rouh et al. Dec 2008 B2
7485536 Jin et al. Feb 2009 B2
7487474 Ciplickas et al. Feb 2009 B2
7491988 Tolchinsky et al. Feb 2009 B2
7494861 Chu et al. Feb 2009 B2
7496862 Chang et al. Feb 2009 B2
7496867 Turner et al. Feb 2009 B2
7501324 Babcock et al. Mar 2009 B2
7503020 Allen et al. Mar 2009 B2
7507999 Kusumoto et al. Mar 2009 B2
7514766 Yoshida Apr 2009 B2
7521323 Surdeanu et al. Apr 2009 B2
7531836 Liu et al. May 2009 B2
7538364 Twynam May 2009 B2
7538412 Schulze et al. May 2009 B2
7562233 Sheng et al. Jul 2009 B1
7564105 Chi et al. Jul 2009 B2
7566600 Mouli Jul 2009 B2
7569456 Ko et al. Aug 2009 B2
7586322 Xu et al. Sep 2009 B1
7595243 Bulucea et al. Sep 2009 B1
7598142 Ranade et al. Oct 2009 B2
7605041 Ema et al. Oct 2009 B2
7605060 Meunier-Beillard et al. Oct 2009 B2
7605429 Bernstein et al. Oct 2009 B2
7608496 Chu Oct 2009 B2
7615802 Elpelt et al. Nov 2009 B2
7622341 Chudzik et al. Nov 2009 B2
7638380 Pearce Dec 2009 B2
7642140 Bae et al. Jan 2010 B2
7644377 Saxe et al. Jan 2010 B1
7645665 Kubo et al. Jan 2010 B2
7655523 Babcock et al. Feb 2010 B2
7673273 Madurawe et al. Mar 2010 B2
7675126 Cho Mar 2010 B2
7675317 Perisetty Mar 2010 B2
7678638 Chu et al. Mar 2010 B2
7682887 Dokumaci et al. Mar 2010 B2
7683442 Burr et al. Mar 2010 B1
7696000 Liu et al. Apr 2010 B2
7704822 Jeong Apr 2010 B2
7704844 Zhu et al. Apr 2010 B2
7709828 Braithwaite et al. May 2010 B2
7723750 Zhu et al. May 2010 B2
7737472 Kondo et al. Jun 2010 B2
7741138 Cho Jun 2010 B2
7741200 Cho et al. Jun 2010 B2
7745270 Shah et al. Jun 2010 B2
7750374 Capasso et al. Jul 2010 B2
7750381 Hokazono et al. Jul 2010 B2
7750405 Nowak Jul 2010 B2
7750682 Bernstein et al. Jul 2010 B2
7755144 Li et al. Jul 2010 B2
7755146 Helm et al. Jul 2010 B2
7759206 Luo et al. Jul 2010 B2
7761820 Berger et al. Jul 2010 B2
7808045 Kawahara et al. Oct 2010 B2
7808410 Kim et al. Oct 2010 B2
7811873 Mochizuki Oct 2010 B2
7811881 Cheng et al. Oct 2010 B2
7821066 Lebby et al. Oct 2010 B2
7829402 Matocha et al. Nov 2010 B2
7831873 Trimberger et al. Nov 2010 B1
7846822 Seebauer et al. Dec 2010 B2
7855118 Hoentschel et al. Dec 2010 B2
7859013 Chen et al. Dec 2010 B2
7863163 Bauer Jan 2011 B2
7867835 Lee et al. Jan 2011 B2
7883977 Babcock et al. Feb 2011 B2
7888205 Herner et al. Feb 2011 B2
7888747 Hokazono Feb 2011 B2
7895546 Lahner et al. Feb 2011 B2
7897495 Ye et al. Mar 2011 B2
7906413 Cardone et al. Mar 2011 B2
7910419 Fenouillet-Beranger et al. Mar 2011 B2
7919791 Flynn et al. Apr 2011 B2
7926018 Moroz et al. Apr 2011 B2
7935984 Nakano May 2011 B2
7941776 Majumder et al. May 2011 B2
7945800 Gomm et al. May 2011 B2
7948008 Liu et al. May 2011 B2
7952147 Ueno et al. May 2011 B2
7960232 King et al. Jun 2011 B2
7960238 Kohli et al. Jun 2011 B2
7968400 Cai Jun 2011 B2
7968411 Williford Jun 2011 B2
7968440 Seebauer Jun 2011 B2
7968459 Bedell et al. Jun 2011 B2
7989900 Haensch et al. Aug 2011 B2
7994573 Pan Aug 2011 B2
8012827 Yu et al. Sep 2011 B2
8029620 Kim et al. Oct 2011 B2
8039332 Bernard et al. Oct 2011 B2
8046598 Lee Oct 2011 B2
8048791 Hargrove et al. Nov 2011 B2
8048810 Tsai et al. Nov 2011 B2
8051340 Cranford, Jr. et al. Nov 2011 B2
8053340 Colombeau et al. Nov 2011 B2
8063466 Kurita Nov 2011 B2
8067279 Sadra et al. Nov 2011 B2
8067280 Wang et al. Nov 2011 B2
8067302 Li Nov 2011 B2
8076719 Zeng et al. Dec 2011 B2
8097529 Krull et al. Jan 2012 B2
8103983 Agarwal et al. Jan 2012 B2
8105891 Yeh et al. Jan 2012 B2
8106424 Schruefer Jan 2012 B2
8106481 Rao Jan 2012 B2
8110487 Griebenow et al. Feb 2012 B2
8114761 Mandrekar et al. Feb 2012 B2
8119482 Bhalla et al. Feb 2012 B2
8120069 Hynecek Feb 2012 B2
8129246 Babcock et al. Mar 2012 B2
8129797 Chen et al. Mar 2012 B2
8134159 Hokazono Mar 2012 B2
8143120 Kerr et al. Mar 2012 B2
8143678 Kim et al. Mar 2012 B2
8148774 Mori et al. Apr 2012 B2
8163619 Yang et al. Apr 2012 B2
8169002 Chang et al. May 2012 B2
8170857 Joshi et al. May 2012 B2
8173499 Chung et al. May 2012 B2
8173502 Yan et al. May 2012 B2
8176461 Trimberger May 2012 B1
8178430 Kim et al. May 2012 B2
8179530 Levy et al. May 2012 B2
8183096 Wirbeleit May 2012 B2
8183107 Mathur et al. May 2012 B2
8185865 Gupta et al. May 2012 B2
8187959 Pawlak et al. May 2012 B2
8188542 Yoo et al. May 2012 B2
8196545 Kurosawa Jun 2012 B2
8201122 Dewey, III et al. Jun 2012 B2
8214190 Joshi et al. Jul 2012 B2
8217423 Liu et al. Jul 2012 B2
8225255 Ouyang et al. Jul 2012 B2
8227307 Chen et al. Jul 2012 B2
8236661 Dennard et al. Aug 2012 B2
8239803 Kobayashi Aug 2012 B2
8247300 Babcock et al. Aug 2012 B2
8255843 Chen et al. Aug 2012 B2
8258026 Bulucea Sep 2012 B2
8266567 El Yahyaoui et al. Sep 2012 B2
8286180 Foo Oct 2012 B2
8288798 Passlack Oct 2012 B2
8299562 Li et al. Oct 2012 B2
8324059 Guo et al. Dec 2012 B2
9496261 Shifren Nov 2016 B2
20010014495 Yu Aug 2001 A1
20020042184 Nandakumar et al. Apr 2002 A1
20030006415 Yokogawa et al. Jan 2003 A1
20030047763 Hieda et al. Mar 2003 A1
20030122203 Nishinohara Jul 2003 A1
20030173626 Burr Sep 2003 A1
20030215991 Sohn et al. Nov 2003 A1
20030215992 Sohn et al. Nov 2003 A1
20040075143 Bae et al. Apr 2004 A1
20040084731 Matsuda et al. May 2004 A1
20040087090 Grudowski et al. May 2004 A1
20040126947 Sohn Jul 2004 A1
20040175893 Vatus et al. Sep 2004 A1
20040180488 Lee Sep 2004 A1
20050056877 Rueckes et al. Mar 2005 A1
20050093021 Ouyang et al. May 2005 A1
20050106824 Alberto et al. May 2005 A1
20050116282 Pattanayak et al. Jun 2005 A1
20050250289 Babcock et al. Nov 2005 A1
20050276094 Yamaoka et al. Dec 2005 A1
20050280075 Ema et al. Dec 2005 A1
20060017100 Bol et al. Jan 2006 A1
20060049464 Rao Mar 2006 A1
20060068586 Pain Mar 2006 A1
20060071278 Takao Apr 2006 A1
20060091481 Li et al. May 2006 A1
20060154428 Dokumaci Jul 2006 A1
20060157794 Doyle et al. Jul 2006 A1
20060163674 Cho Jul 2006 A1
20060197158 Babcock et al. Sep 2006 A1
20060203581 Joshi et al. Sep 2006 A1
20060220114 Miyashita et al. Oct 2006 A1
20060223248 Venugopal et al. Oct 2006 A1
20070040222 Van Camp et al. Feb 2007 A1
20070117326 Tan et al. May 2007 A1
20070158790 Rao Jul 2007 A1
20070194383 Kato Aug 2007 A1
20070212861 Chidambarrao et al. Sep 2007 A1
20070238253 Tucker Oct 2007 A1
20070242497 Joshi et al. Oct 2007 A1
20080067589 Ito et al. Mar 2008 A1
20080090364 Ema Apr 2008 A1
20080108208 Arevalo et al. May 2008 A1
20080138953 Challa et al. Jun 2008 A1
20080169493 Lee et al. Jul 2008 A1
20080169516 Chung Jul 2008 A1
20080197439 Goerlach et al. Aug 2008 A1
20080203522 Mandelman et al. Aug 2008 A1
20080227250 Ranade et al. Sep 2008 A1
20080237661 Ranade et al. Oct 2008 A1
20080258198 Bojarczuk et al. Oct 2008 A1
20080272409 Sonkusale et al. Nov 2008 A1
20090003105 Itoh et al. Jan 2009 A1
20090004806 Siprak Jan 2009 A1
20090057746 Sugll et al. Mar 2009 A1
20090057762 Bangsaruntip et al. Mar 2009 A1
20090108350 Cai et al. Apr 2009 A1
20090121298 Furukawa et al. May 2009 A1
20090134468 Tsuchiya et al. May 2009 A1
20090224319 Kohli Sep 2009 A1
20090302388 Cai et al. Dec 2009 A1
20090309140 Khamankar et al. Dec 2009 A1
20090311837 Kapoor Dec 2009 A1
20100012988 Yang et al. Jan 2010 A1
20100100856 Mittal Apr 2010 A1
20100148153 Hudait et al. Jun 2010 A1
20100149854 Vora Jun 2010 A1
20100187641 Zhu et al. Jul 2010 A1
20100207182 Paschal Aug 2010 A1
20100270600 Inukai et al. Oct 2010 A1
20110059588 Kang Mar 2011 A1
20110073961 Dennard et al. Mar 2011 A1
20110074498 Thompson et al. Mar 2011 A1
20110079860 Verhulst Apr 2011 A1
20110079861 Shifren et al. Apr 2011 A1
20110095811 Chi et al. Apr 2011 A1
20110147828 Murthy et al. Jun 2011 A1
20110169082 Zhu et al. Jul 2011 A1
20110175170 Wang et al. Jul 2011 A1
20110180880 Chudzik et al. Jul 2011 A1
20110193164 Zhu Aug 2011 A1
20110212590 Wu et al. Sep 2011 A1
20110230039 Mowry et al. Sep 2011 A1
20110242921 Tran et al. Oct 2011 A1
20110248352 Shifren Oct 2011 A1
20110294278 Eguchi et al. Dec 2011 A1
20110309447 Arghavani et al. Dec 2011 A1
20120021594 Gurtej et al. Jan 2012 A1
20120034745 Colombeau et al. Feb 2012 A1
20120056275 Cai et al. Mar 2012 A1
20120065920 Nagumo et al. Mar 2012 A1
20120108050 Chen et al. May 2012 A1
20120132998 Kwon et al. May 2012 A1
20120136953 Lamb May 2012 A1
20120138953 Cai Jun 2012 A1
20120146155 Hoentschel et al. Jun 2012 A1
20120167025 Gillespie et al. Jun 2012 A1
20120187491 Zhu et al. Jul 2012 A1
20120190177 Kim et al. Jul 2012 A1
20120223363 Kronholz et al. Sep 2012 A1
Foreign Referenced Citations (15)
Number Date Country
0274278 Jul 1988 EP
0312237 Apr 1989 EP
0531621 Mar 1993 EP
0683515 Nov 1995 EP
0889502 Jan 1999 EP
1450394 Aug 2004 EP
59193066 Nov 1984 JP
4186774 Jul 1992 JP
8153873 Jun 1996 JP
8288508 Nov 1996 JP
2004087671 Mar 2004 JP
10-2007-0108212 Nov 2007 KR
794094 Jan 2008 KR
10-2009-0056542 Jun 2009 KR
WO 2006083693 Aug 2006 WO
Non-Patent Literature Citations (39)
Entry
US 7,011,991, 03/2006, Li (withdrawn)
Korean Notice of Preliminary Rejection of Application 10-2012-7029435 including translation, Jan. 31, 2017.
PCT Notice of Transmittal of the International Search Report and the Written Opinion of the International Search Authority, or the Declaration, PCT/US 11/31531; 8 pp. dated Jun. 29, 2011.
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814, Apr. 1998.
Chau, R et al., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4, 2001.
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15μm n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24, 1995.
Ohguro, T et al., “An 0.18-μm CMOS for Mixed Digital and Analog Aplications with Zero-Volt—Vth Epitaxial-Channel MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378-1383, Jul. 1999.
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High-Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116, 1996.
Thompson, S et al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Technology Journal Q3′ 1998, pp. 1-19, 1998.
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage MOSFET (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate CMOS”, IEDM 96, pp. 459-462, 1996.
Yan, Ran-Hong et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices, vol. 39, No. 7, Jul. 1992.
Hokazono, A et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113, 2008.
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Symposium, pp. 29.1.1-29.1.4, 2009.
Holland, OW and Thomas, DK “A Method to Improve Activation of Implanted Dopants in SiC”, Oak Ridge National Laboratory, Oak Ridge, TN, 2001.
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467, Oct. 1998.
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, No. 3, pp. 1582-1588, Aug. 2002.
Scholz, R et al., “Carbon-Induced Undersaturation of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202, Jan. 1998.
Goesele, U et al., Diffusion Engineering by Carbon in Silicon, Mat. Res. Soc. Symp. vol. 610, 2000.
Ducroquet, F et al. “Fully Depleted Silicon-On-Insulator nMOSFETs with Tensile Strained High Carbon Content Sil-yCy Channel”, ECS 210th Meeting, Abstract 1033, 2006.
Lavéant, P. “Incorporation, Diffusion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194, 2002.
Ernst, T et al., “Integration of SiGe: C Alloys in Advanced CMOS”.
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050, May 1997.
Scholz, RF et al., “The Contribution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394, Jan. 1999.
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610, 2000.
Ernst, T et al., “Nanoscaled MOSFET Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans. 2006, vol. 3, Issue 7, pp. 947-961, 2006.
Komaragiri, R. et al., “Depletion-Free Poly Gate Electrode Architecture for Sub 100 Nanometer CMOS Devices with High-K Gate Dielectrics”, IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, 2004, Dec. 2004.
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forecast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93, 2006.
Wong, H et al., “Nanoscale CMOS”, Proceedings of the IEEE, Vo. 87, No. 4, pp. 537-570, Apr. 1999.
Banerjee, et al. “Compensating Non-Optical Effects using Electrically-Driven Optical Proximity Correction”, Proc. of SPIE vol. 7275 7275OE, 2009.
Cheng, et al. “Extremely Thin SOI (ETSOI) CMOS with Record Low Variability for Low Power System-on-Chip Applications”, Electron Devices Meeting (IEDM), Dec. 2009.
Cheng, et al. “Fully Depleted Extremely Thin SOI Technology Fabricated by a Novel Integration Scheme Feturing Implant-Free, Zero-Silicon-Loss, and Faceted Raised Source/Drain”, Symposium on VLSI Technology Digest of Technical Papers, pp. 212-213, 2009.
Drennan, et al. “Implications of Proximity Effects for Analog Design”, Custom Integrated Circuits Conference, pp. 169-176, Sep. 2006.
Hook, et al. “Lateral Ion Implant Straggle and Mask Proximity Effect”, IEEE Transactions on Electron Devices, vol. 50, No. 9, pp. 1946-1951, Sep. 2003.
Hori, et al., “A 0.1 μm CMOS with a Step Channel Profile Formed by Ultra High Vacuum CVD and In-Situ Doped Ions”, Proceedsing of the International Electron Devices Meeting, New York, IEEE, US, pp. 909-911, Dec. 5, 1993.
Matshuashi, et al. “High-Performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET”, Symposium on VLSI Technology Digest of Technical Papers, pp. 36-37, 1996.
Shao, et al., “Boron Diffusion in Silicon: The Anomalies and Control by Point Defect Engineering”, Materials Science and Engineering R: Reports, vol. 42, No. 3-4, pp. 65-114, Nov. 1, 2003, Nov. 2012.
Sheu, et al. “Modeling the Well-Edge Proximity Effect in Highly Scaled MOSFETs”, IEEE Transactions on Electron Devices, vol. 53, No. 11, pp. 2792-2798, Nov. 2006.
Office Action from Taiwanese Patent Office for Taiwan Patent Application No. 100112429 and search report; 6 pages including English translation, dated Jun. 11, 2015.
Korean Intellectual Property Office Notice of Last Preliminary Rejection of Patent Application No. 10-2012-7029435 (with translation), dated Aug. 30, 2017.
Related Publications (1)
Number Date Country
20170012044 A1 Jan 2017 US
Provisional Applications (1)
Number Date Country
61323255 Apr 2010 US
Continuations (2)
Number Date Country
Parent 13969938 Aug 2013 US
Child 15272113 US
Parent 12971884 Dec 2010 US
Child 13969938 US