Method and apparatus for controlling the thickness of a selective epitaxial growth layer

Information

  • Patent Grant
  • 7402207
  • Patent Number
    7,402,207
  • Date Filed
    Wednesday, May 5, 2004
    20 years ago
  • Date Issued
    Tuesday, July 22, 2008
    15 years ago
Abstract
Methods and systems for permitting thickness control of the selective epitaxial growth (SEG) layer in a semiconductor manufacturing process, for example raised source/drain applications in CMOS technologies, are presented. These methods and systems provide the capability to measure the thickness of an SEG film in-situ utilizing optical ellipsometry equipment during or after SEG layer growth, prior to removing the wafer from the SEG growth tool. Optical ellipsometry equipment can be integrated into the SEG platform and control software, thus providing automated process control (APC) capability for SEG thickness. The integration of the ellipsometry equipment may be varied, dependent upon the needs of the fabrication facility, e.g., integration to provide ellipsometer monitoring of a single process tool, or multiple tool monitoring, among other configurations.
Description
FIELD OF THE DISCLOSURE

The present disclosure relates generally to a semiconductor manufacturing process and system, and more particularly to process control during a semiconductor manufacturing processes.


DESCRIPTION OF THE RELATED ART

Selective epitaxial growth (SEG) processes for raised source/drain applications in complementary metal oxide semiconductor (CMOS) technologies are increasingly being utilized in the semiconductor industry. One key consideration during SEG processing is obtaining uniformity in a desired thickness of the SEG layer during formation. A typical desired SEG thickness is on the order of 200 Angstroms. A variation of 100 Angstroms from wafer to wafer can result in decreased device performance. For example, if an SEG layer is too thick, bridging from the source/drain area to the gate across the spacer following silicidation may result. Alternately, when an SEG layer is too thin, junction leakage and excessive contact resistance may result following silicidation.


In a typical fabrication facility, after an SEG layer is grown on a wafer in a SEG process chamber, the wafer is removed from the tool containing the SEG process chamber to have the thickness of the SEG layer measured. Once the wafer is removed from the tool, oxidation may occur on the surface of the SEG layer due to differences in environmental conditions between the SEG tool and regions outside the SEG process chamber. Thus if measurements determine the SEG layer is of insufficient thickness, the wafer generally must undergo an additional cleaning process to remove the oxidation prior to additional SEG growth, since SEG growth does not occur on oxidized surfaces.


Therefore, a method that addresses the problems associated with monitoring the thickness of an SEG layer would be useful.





BRIEF DESCRIPTION OF THE DRAWINGS

The present disclosure may be better understood, and its numerous objects, features, and advantages made apparent to those skilled in the art by referencing the accompanying drawings.



FIG. 1 is a simplified block diagram showing a system for integrating optical ellipsometry equipment onto a selective epitaxial growth (SEG) platform according to at least one embodiment of the present disclosure;



FIG. 2 is a simplified block diagram showing an arrangement for integrating optical ellipsometry equipment onto a multiple process platform according to at least one embodiment of the present disclosure;



FIG. 3 is a simplified block diagram showing an alternate system for integrating optical ellipsometry equipment onto a multiple process platform according to at least one embodiment of the present disclosure;



FIG. 4 is a flowchart illustrating a method for measuring the thickness of an epitaxial layer in an integrated system for semiconductor wafer fabrication according to at least one embodiment of the present disclosure;



FIG. 5 is a flowchart illustrating another method for measuring the thickness of an epitaxial layer in an integrated system for semiconductor wafer fabrication according to at least one embodiment of the present disclosure; and



FIG. 6 is a block diagram illustrating a system for conducting in-situ optical ellipsometry for monitoring and/or controlling a selected epitaxial growth process according to at least one embodiment of the present disclosure.


The use of the same reference symbols in different drawings indicates similar or identical items.





DESCRIPTION OF THE PREFERRED EMBODIMENT(S)

The present disclosure provides methods and systems for permitting thickness control of the selective epitaxial growth (SEG) layer in a semiconductor manufacturing process, for example raised source/drain (S/D) applications in CMOS technologies. The methods and systems presented provide the capability to measure the thickness of the SEG film in-situ utilizing optical ellipsometry equipment during or after SEG layer growth, prior to removing the wafer from the SEG growth tool or process chamber(s). In the various embodiments, an ellipsometer is integrated into the SEG platform and control software, thus providing automated process control (APC) capability for SEG thickness.


Because the measurement tool is integrated into the SEG platform, the problem of problem of potential oxidation on the surface of an SEG layer resulting from differences in environmental conditions between the SEG tool and regions outside the SEG process chamber is overcome. The integration of the ellipsometry equipment may be combined in various ways, dependent upon the needs of the fabrication facility, e.g., integration to provide ellipsometer monitoring of a single process tool, or multiple tool monitoring, among other arrangements which are presented herein.


In the various embodiments taught herein, the use of metrology monitor boxes is a specific embodiment. These metrology monitor boxes, which may be located in the scribe line to save die space, are typically a 50 micron by 50 micron size for optical ellipsometry equipment. The metrology boxes typically match the sampling area of the optical ellipsometry equipment. In various embodiment of the present disclosure, the integrated tools presented herein can utilize automatic alignment (no operator adjustments) with techniques such as digital optical recognition and pixel subtraction, as well as other alignment techniques, in order to position the metrology monitor box within the sampling area of the optical ellipsometry equipment.



FIG. 1 is a simplified block diagram illustrating an integrated process tool 100 in which semiconductor wafer substrates 101 to undergo processing are inserted into a transfer compartment 103 prior to placement into a first process chamber, e.g., SEG process chamber 105. The processes to be conducted in integrated process tool 100 can be monitored and/or controlled by controller 109. While in SEG process chamber 105, the semiconductor wafer substrates 101 have a first epitaxial layer formed. Following formation of the first epitaxial layer, substrates 101 are removed from the SEG process chamber 105 and placed in a second process chamber 107. In a specific embodiment, the second process chamber 107 is an optical ellipsometry equipment chamber 107.


While in the second process chamber 107, a thickness of the first epitaxial layer of substrates 101 is measured and compared to a desired thickness. The steps of measuring and comparing are typically performed for a plurality of locations of the first epitaxial layer of the substrates 101.


When the thickness comparison has been conducted, if the desired thickness is greater than the thickness of the first epitaxial layer of the substrates 101, the substrates 101 are returned to the first epitaxial growth chamber 105. A second SEG layer growth process would then occur in the SEG chamber 105, and the steps of removing, placing, measuring, and comparing can be conducted until the desired thickness has been met. Alternately, when the desired thickness is at or less than the thickness of the first epitaxial layer, no further SEG growth is necessary, hence the substrates 101 could be transferred to the transfer compartment 103 for removal from the integrated process tool 100 for further fabrication toward device completion.


In the various embodiments, no cleaning of the semiconductor substrates 101 is required between placing the substrates 101 in the second process chamber 107 and returning the substrates 101 to the SEG process chamber 103 prior to the step of returning. This is because a low-pressure atmosphere is maintained at the semiconductor substrates 101 during the steps of forming the first epitaxial layer, removing, and placing the substrates 101 in the second process chamber 107 of integrated process tool 100. In addition, a low-pressure atmosphere is also maintained during the step of returning the substrates 101 from the second chamber 107 to the first chamber 105. In an embodiment, the low-pressure atmosphere is in the range of 1×10−6 to 1×10−10 Torr.


Controller 109 represents an information handling system which is interfaced to processing tool 100. Controller 109 can interface to a communications port (not shown) to receive measurements from the optical ellipsometer in the second process chamber 107. Although not specifically illustrated, controller 109 further comprises a processor, memory operably coupled to said processor, and a program of instructions to be stored in memory and executed by said processor. The program of instructions includes instructions to compare the thickness of the epitaxial layer and a desired thickness. The program of instructions further includes instructions to halt the selective epitaxial growth process when the thickness of the epitaxial layer is substantially equal to the desired thickness.


The measurement data obtained from the controller 109 can also be utilized to evaluate a semiconductor manufacturing process based on the thickness of the first epitaxial layer grown in SEG chamber 105. This information could then be utilized in process control to adjust the SEG parameters of chamber 105 such that the desired thickness is obtained for the first epitaxial layer, without having to resort to multiple SEG layer growing processes, saving time.


Alternately, embodiments of the method disclosed herein permits in-situ measurements wherein the optical ellipsometry equipment is measuring the growth of the epitaxial layer while the SEG process is occurring, e.g., no wafer conveyance to chamber 107. In this embodiment, illustrated in detail in FIG. 6, the program of instructions in controller 109 would further include instructions to halt the SEG process when the thickness of the epitaxial layer is substantially equal to the desired thickness. Similarly, measurement data obtained from controller 109 can be utilized to evaluate a semiconductor manufacturing process based on the measured thickness of the epitaxial layer grown in SEG chamber 105. This information could be utilized in advanced process control to adjust the SEG parameters during epitaxial layer growth.



FIG. 2 is a simplified block diagram illustrating another example of a configuration for an integrated semiconductor device processing tool 200. Integrated processing tool 200 comprises an outer transfer compartment 203, an inter-chamber transfer compartment 204, an SEG process chamber 205, a measurement chamber 207, another process chamber 211, and a controller 209. In an embodiment, the measurement chamber 207 uses an optical ellipsometer to measure a thickness of an epitaxial layer. The process chamber 211 may be an additional SEG process chamber, or another type of process such as a rapid thermal annealing (RTA) chamber.


Semiconductor wafer devices 201 are placed in the outer transfer compartment 203 to begin the portion of the fabrication cycle to be conducted with integrated semiconductor device processing tool 200. From the outer transfer compartment 203, the devices 201 to undergo processing are inserted into an inter-chamber transfer compartment 204 prior to placement into a first process chamber, e.g., SEG process chamber 205. Conveyance of devices 201 between the process chambers 205, 207, and 211 can be accomplished by the inter-chamber transfer compartment 204 with the aid of various robotic devices (not shown), which are well known in the art.


In a typical flow from the inter-chamber transfer compartment 204, the devices 201 are removed from the transfer compartment 204 and placed into the SEG chamber 205 to initiate an epitaxial layer growth process. Inter-chamber transfer compartment 204 is utilized to remove wafers 201 from the SEG process chamber 205 and place the wafers 201 into the measurement chamber 207. Inter-chamber transfer compartment 204 is operable to maintain a pressure which is substantially the same pressure as exists in the SEG process chamber 205 during processing. In addition, substantially the same pressure as exists in the SEG process chamber is maintained in the measurement chamber 207. Maintaining the same (low) pressure is antithetical for oxidation, thus negating the requirement to clean the devices 201 between movements to/from measurement chamber 207 to/from SEG process chamber 205.


Controller 209 represents an information handling system which is interfaced to processing tool 200. Controller 209 can interface to a communications port (not shown) to receive measurements from the optical ellipsometer in the measurement chamber 207. Although not illustrated, controller 209 further comprises a processor, memory operably coupled to said processor, and a program of instructions to be stored in memory and executed by said processor. The program of instructions includes instructions on a computer-readable medium to compare the thickness of the epitaxial layer at a plurality of measurement locations on devices 201 and a desired thickness.



FIG. 3 is a simplified block diagram illustrating a further example of a configuration for an integrated semiconductor device processing tool 300. The processing tool 300 represents a more complex system than those systems shown in FIGS. 1 and 2. Integrated processing tool 300 comprises an outer transfer compartment 303, an inter-chamber transfer compartment 304, a SEG process chamber 305, a SEG process chamber 306, a measurement equipment chamber 307, a measurement equipment chamber 312, a process chamber 310, a process chamber 311, and a controller 309. Controller 309 represents an information handling system which is interfaced to processing tool 300, and would comprise the components discussed with reference to FIGS. 1 and 2.


In the embodiment illustrated in FIG. 3, the measurement equipment 307 and/or the measurement equipment 312 can be configured to conduct in-situ measurements for their respective adjoining process chambers. In this manner, the wafers do not need to be transferred from their processing chambers to be measured. For example, the measurement equipment 307 can comprise an optical ellipsometer to measure a thickness of an epitaxial layer grown in SEG process chamber 305 (in-situ) or SEG process chamber 306 (in-situ) during the SEG process. Process chambers 310 and 311 can be additional SEG process chambers, with measurement equipment 312 being another optical ellipsometer for in-situ epitaxial layer growth monitoring. Alternatively, process chambers 310 and 311 may be other types of process chambers, e.g., a rapid thermal anneal (RTA) chamber, and measurement equipment chamber 312 for another type of measurement equipment, e.g., spectroscopic reflectometry.


In embodiments wherein the measurement equipment in measurement equipment 307 is an optical ellipsometer measuring the growth of the epitaxial layer during the SEG process, i.e., in situ, the program of instructions for controller 309 includes instructions to halt the selective epitaxial growth process when the thickness of the epitaxial layer is substantially equal to the desired thickness. For example, measurement data obtained from the controller 309 as provided by measurement equipment 307 can serve to evaluate a semiconductor manufacturing process based on in-situ measurements of the thickness of the epitaxial layer growing in SEG chamber 305 and/or SEG chamber 306. This information can be employed in advanced process controls to automate the adjustment of SEG parameters during the SEG process.


The systems shown in FIGS. 1 through 3 range from the simple to the more complex. The flexibility of the present disclosure permits configurations wherein the steps of initiating an epitaxial growth process, monitoring the epitaxial growth process, determining the thickness of the epitaxial layer at a plurality of locations, as well as concluding the epitaxial growth process based upon monitoring can be conducted in a single process chamber. Alternately, initiating, monitoring, determining, and concluding can be conducted in a separate process chamber. The selection of single or separate would be dependent upon the needs of the manufacturing facility. Regardless of the configuration selected, however, the environment of the various process chambers and measurement chambers should be maintained at the same low pressure as that of the SEG process chamber.



FIG. 4 is a flowchart illustrating a method for measuring the thickness of an epitaxial layer in an integrated system for semiconductor device fabrication. In step 600, a semiconductor device, e.g., wafer or substrate, is placed in a first process chamber, e.g., an SEG process chamber. An epitaxial growth process is initiated to form a first epitaxial layer on the semiconductor device in step 605. In step 610, the wafer is transferred from the SEG process chamber directly to a second process chamber, where thickness measurements are conducted on the first epitaxial layer at a plurality of locations, as in step 620. Transferring includes removing the wafer from one location and placing the wafer in another location. During transfer, substantially the same pressure is maintained from one process chamber to another process chamber. In an embodiment, the low-pressure atmosphere is in the range of 1×10−6 to 1×10−10 Torr. Large pressure differentials between chambers should be avoided due to vacuum management concerns as well as wafer integrity considerations. The thickness measurements are conducted utilizing optical ellipsometry.


In step 625, a determination is made as to whether the measured thickness meets a desired thickness. If the desired thickness has been reached, the wafer continues other fabrication processes toward device completion, as in step 635. If the desired thickness has not been reached, in step 630 the wafer is returned directly to the SEG process chamber for additional epitaxial layer growth while maintaining substantially the same pressure during the transfer, and the process recommences from steps 605 through steps 625 until the desired thickness is achieved.



FIG. 5 is a flowchart illustrating another method for measuring the thickness of an epitaxial layer in an integrated system for semiconductor wafer fabrication. In step 700, a semiconductor device, e.g., wafer or substrate, is placed in a first SEG process chamber. In step 705 an epitaxial growth process is initiated to form a first epitaxial layer on the semiconductor wafer. In step 710, the wafer is removed from the SEG process chamber to a transfer chamber, and in step 715, the wafer is conveyed from the transfer chamber and placed in a second process chamber. During the various transfers (removing and placing), the pressure is maintained at substantially the same (low) pressure throughout the various chambers and transfer compartment. In the second process chamber, thickness measurements are conducted on the first epitaxial layer at a plurality of locations, as in step 720. The thickness measurements are conducted utilizing optical ellipsometry.


In step 725, a determination is made as to whether the measured thickness of the first epitaxial layer meets a desired thickness. If measurements determine the desired thickness has been reached, the wafer is removed from the second chamber and continues other fabrication processes toward device completion, as in step 735. If the desired thickness has not been reached, in step 730 the wafer is returned, via the transfer compartment, to the SEG process chamber for additional epitaxial layer growth. The process continues from steps 705 through steps 725 until the desired thickness is achieved. As previously noted, each of the movements (removing and placing) between the process chamber(s) and the measurement chamber(s) occur at substantially the same pressure.



FIG. 6 is a simplified block diagram illustrating a system 800 for conducting optical ellipsometry in-situ to an SEG process chamber for monitoring and/or controlling a selected epitaxial growth process according to an embodiment of the present disclosure. It should be noted that there are commercially available types of ellipsometers having various set-up configurations that can be utilized to practice the methods disclosed herein. Examples of these various configurations include rotating analyzer ellipsometers, rotating compensator ellipsometers, rotating polarizing ellipsometers, and polarization modulation ellipsometers, to name a few. Although there are differences in the various configurations, all of the various ellipsometers start with a light source and end with a detector. One example of an optical ellipsometry configuration which can be used to practice the methods taught herein is shown in FIG. 6.


In the example illustrated by FIG. 6, the system comprises a light source 820 and shutter 821 connected via an optical fiber 822 to an assembly 823 which houses a polarizer 824 and a photoelastic modulator 825. The light source can be a xenon, deuterium, quartz tungsten halogen, silicon carbide globar, or other type of lamp, in order to produce photons suitable to the spectral range/wavelength of interest. Typically, discrete wavelengths of 248 nm, 543 nm, 612 nm, or 1150 nm are used. A standard wavelength utilizes a HeNe laser at 632.8 nm. Additionally infrared sources at 0.83, 1.31, and 1.52 micron are available. The shutter 821 serves to eliminate background radiation from the environment, e.g., radiation produced by chamber wall heaters, sample heaters, and the like. The polarizer 824 and modulator 825 are mounted in the incident light path 826, and motors (not shown) permit the incident light path 826 to direct the photons at an angle operable for use in acquiring optical ellipsometry measurements.


The analyzer 827 is mounted to permit focus/spatial resolution of the light path 826 on a small area of the wafer 801 in the SEG chamber 805. The assorted components of optical ellipsometry system 800 are not physically located within the SEG chamber 805, but rather exterior to the SEG chamber 805. SEG chamber 805 has a port 888 in the SEG chamber 805 wall through which the ellipsometry measurements are conducted. The energy of the reflected beam 830 is appraised by the monochromator 829 or spectrograph, and the detector 832 measures the intensity of the light 830 passing through the analyzer 827. Although FIG. 6 illustrates a monochromator 829, there are array-based ellipsometers which could be employed instead. The measurements signal 833 from the detector 832 is sent to a communications port 834 which is part of a signal processing and information handling system 835. The detector 832 can be chosen from varieties known in the art, e.g., photodiode, photomultiplier, CCD array, diode array, et cetera. The selection of detector 832 should be optimized for the spectral ranges of interest.


The information handling system 835 further includes a processor, memory operably coupled to the processor, and a program of instructions to be stored in memory and executed by the processor. The program of instructions includes instructions to compare the measured thickness of the epitaxial layer against a desired thickness. In addition, instructions to halt or conclude the selective epitaxial growth process when the thickness of the epitaxial layer is substantially equal to the desired thickness are included, thus making the system suitable for process control. A video display terminal 836 is available for producing analysis images of the measurement data received from detector 832. A keyboard or keypad (not illustrated) is generally included for user input.


The system illustrated in FIG. 6 can be utilized to initiate a selective epitaxial growth (SEG) process to form an epitaxial layer on a semiconductor device 801. The thickness of the epitaxial layer during the SEG process is monitored by the optical ellipsometry equipment during the SEG process. In an embodiment, the SEG process can be adjusted based upon the monitoring results. The information handling system 835 determines when the measured thickness meets a desired thickness, and concludes the SEG process upon reaching the desired thickness.


In a particular embodiment, the steps of initiating, monitoring, determining, and concluding are conducted in a single process chamber. In another embodiment, the steps of measuring, determining, and concluding are conducted in a separate process chamber.


The method and system herein provides for a flexible implementation. Although described using certain specific examples, it will be apparent to those skilled in the art that the examples are illustrative, and that many variations exist. For example, the disclosure is discussed herein primarily with regard to monitoring and control of the thickness of an epitaxial layer for a CMOS device, however, the invention can be employed with other device technologies to determine thickness of other film layers during device manufacture. Additionally, various types of ellipsometry and selective epitaxial growth devices are currently available which could be suitable for use in employing the method as taught herein. Note also, that although an embodiment of the present invention has been shown and described in detail herein, along with certain variants thereof, many other varied embodiments that incorporate the teachings of the invention may be easily constructed by those skilled in the art. Benefits, other advantages, and solutions to problems have been described above with regard to specific embodiments. However, the benefits, advantages, solutions to problems, and any element(s) that may cause any benefit, advantage, or solution to occur or become more pronounced are not to be construed as a critical, required, or essential feature or element of any or all the claims. Accordingly, the present invention is not intended to be limited to the specific form set forth herein, but on the contrary, it is intended to cover such alternatives, modifications, and equivalents, as can be reasonably included within the spirit and scope of the invention.

Claims
  • 1. A method comprising: placing a semiconductor substrate in a first process chamber of a process tool;forming a first epitaxial layer while in the first process chamber;removing the semiconductor substrate from the first process chamber;placing the semiconductor substrate in a second process chamber of the process tool; and;measuring a thickness of the first epitaxial layer while in the second process chamber;comparing the thickness of the first epitaxial layer to a desired thickness; andreturning the semiconductor substrate to the first process chamber when the desired thickness is greater than the thickness of the first epitaxial layer.
  • 2. The method of claim 1, further comprising: comparing the thickness of the first epitaxial layer to a desired thickness; andreturning the semiconductor substrate to the first process chamber when the desired thickness is less than the thickness of the first epitaxial layer.
  • 3. The method of claim 2, wherein no cleaning of the semiconductor substrate is required between placing the semiconductor substrate in the second process chamber, and returning the semiconductor substrate to the first process chamber prior to returning.
  • 4. The method of claim 1, further comprising: evaluating a semiconductor manufacturing process based on the thickness of the first epitaxial layer.
  • 5. The method of claim 1, further comprising: forming a second epitaxial layer on the first epitaxial layer while in the first process chamber after returning.
  • 6. The method of claim 1, wherein measuring and comparing are performed for a plurality of locations of the first epitaxial layer.
  • 7. The method of claim 1, wherein measuring is conducted with an optical ellipsometer.
  • 8. The method of claim 1, wherein a low-pressure atmosphere is maintained at the semiconductor substrate during the steps of forming the first epitaxial layer, removing, and placing in the second process chamber.
  • 9. The method of claim 1, wherein a low-pressure atmosphere is maintained during returning.
  • 10. The method of claim 9, wherein the low-pressure atmosphere is in the range of 1×10−6 to 1×10−10 Torr.
  • 11. A semiconductor device processing tool comprising: a measurement chamber to measure a thickness of an epitaxial layer;an epitaxial growth process chamber; anda transfer compartment to convey semiconductor wafers between the epitaxial growth process chamber and the measurement chamber, operable to maintain a pressure in the transfer compartment at substantially the same pressure in the epitaxial growth process chamber during processing.
  • 12. The processing tool of claim 11, wherein the measurement chamber comprises an optical ellipsometer to measure a thickness of an epitaxial layer.
US Referenced Citations (124)
Number Name Date Kind
4606866 McGlothlin et al. Aug 1986 A
4868617 Chiao et al. Sep 1989 A
4877757 York et al. Oct 1989 A
4933295 Feist Jun 1990 A
5091763 Sanchez Feb 1992 A
5180464 Tatsumi et al. Jan 1993 A
5298454 D'Asaro et al. Mar 1994 A
5319232 Pfiester Jun 1994 A
5387309 Bobel et al. Feb 1995 A
5556462 Celii et al. Sep 1996 A
5646073 Grider et al. Jul 1997 A
5670018 Eckstein et al. Sep 1997 A
5677214 Hsu Oct 1997 A
5696012 Son Dec 1997 A
5710450 Chau et al. Jan 1998 A
5773328 Blanchard Jun 1998 A
5854136 Huang et al. Dec 1998 A
5902125 Wu May 1999 A
5926701 Li Jul 1999 A
5953609 Koyama et al. Sep 1999 A
5956590 Hsieh et al. Sep 1999 A
6017779 Miyasaka Jan 2000 A
6024794 Tamamura et al. Feb 2000 A
6074939 Watanabe Jun 2000 A
6077076 Comfort Jun 2000 A
6124610 Cheek et al. Sep 2000 A
6133093 Prinz et al. Oct 2000 A
6143036 Comfort Nov 2000 A
6159422 Graves et al. Dec 2000 A
6165826 Chau et al. Dec 2000 A
6165857 Yeh et al. Dec 2000 A
6165906 Bandyopadhyay Dec 2000 A
6187645 Lin et al. Feb 2001 B1
6190981 Lin et al. Feb 2001 B1
6214049 Gayer et al. Apr 2001 B1
6228730 Chen et al. May 2001 B1
6228746 Ibok May 2001 B1
6235568 Murthy et al. May 2001 B1
6245684 Zhao et al. Jun 2001 B1
6251764 Pradeep et al. Jun 2001 B1
6255152 Chen Jul 2001 B1
6271572 Fujita Aug 2001 B1
6277700 Yu et al. Aug 2001 B1
6277736 Chen et al. Aug 2001 B1
6284662 Mikagi Sep 2001 B1
6294432 Lin et al. Sep 2001 B1
6303447 Chhagan et al. Oct 2001 B1
6313017 Varhue Nov 2001 B1
6316302 Cheek et al. Nov 2001 B1
6335251 Miyano et al. Jan 2002 B2
6346447 Rodder Feb 2002 B1
6346468 Pradeep et al. Feb 2002 B1
6346505 Morita et al. Feb 2002 B1
6348387 Yu Feb 2002 B1
6380043 Yu Apr 2002 B1
6383877 Ahn et al. May 2002 B1
6387765 Chhagan et al. May 2002 B2
6403434 Yu Jun 2002 B1
6436841 Tsai et al. Aug 2002 B1
6440851 Agnello et al. Aug 2002 B1
6444578 Cabral et al. Sep 2002 B1
6451693 Woo et al. Sep 2002 B1
6461385 Gayer et al. Oct 2002 B1
6472283 Ishida et al. Oct 2002 B1
6479358 Yu Nov 2002 B1
6596138 Shibasaki Jul 2003 B2
6677233 Dubin Jan 2004 B2
6679946 Jackson et al. Jan 2004 B1
6713350 Rudeck Mar 2004 B2
6726767 Marrs et al. Apr 2004 B1
6727553 Kotani Apr 2004 B2
6777759 Chau et al. Aug 2004 B1
6800213 Ding et al. Oct 2004 B2
6890391 Aoki et al. May 2005 B2
6908822 Rendon et al. Jun 2005 B2
6924518 Iinuma et al. Aug 2005 B2
6946371 Langdo et al. Sep 2005 B2
6979622 Thean et al. Dec 2005 B1
7008835 Jin et al. Mar 2006 B2
7014788 Fujimura et al. Mar 2006 B1
7018891 Doris et al. Mar 2006 B2
7037793 Chien et al. May 2006 B2
7176522 Cheng et al. Feb 2007 B2
7183662 Kim et al. Feb 2007 B2
7235848 Jeng Jun 2007 B2
7241700 En et al. Jul 2007 B1
20010012693 Talwar et al. Aug 2001 A1
20020104846 Shinasaki Aug 2002 A1
20020135017 Vogt et al. Sep 2002 A1
20020137297 Kunikiyo Sep 2002 A1
20020142616 Giewont et al. Oct 2002 A1
20020171107 Cheng et al. Nov 2002 A1
20030038323 Kotani Feb 2003 A1
20030042515 Xiang et al. Mar 2003 A1
20030098479 Murthy et al. May 2003 A1
20030124840 Dubin Jul 2003 A1
20040041216 Mori et al. Mar 2004 A1
20040053484 Chakravarthi et al. Mar 2004 A1
20040118812 Watkins et al. Jun 2004 A1
20040119102 Chan et al. Jun 2004 A1
20040132291 Lee et al. Jul 2004 A1
20050112817 Cheng et al. May 2005 A1
20050118769 Kammler et al. Jun 2005 A1
20050121719 Mori Jun 2005 A1
20050124126 Wu Jun 2005 A1
20050130434 Chien et al. Jun 2005 A1
20050153566 Han et al. Jul 2005 A1
20050176205 Chien et al. Aug 2005 A1
20050212015 Huang et al. Sep 2005 A1
20050252443 Tsai et al. Nov 2005 A1
20060094215 Frohberg et al. May 2006 A1
20060099766 Jin et al. May 2006 A1
20060131648 Ahn et al. Jun 2006 A1
20060151776 Hatada et al. Jul 2006 A1
20060151840 Maekawa Jul 2006 A1
20060154410 Choi et al. Jul 2006 A1
20060175686 Murata et al. Aug 2006 A1
20060202237 Huang et al. Sep 2006 A1
20060252191 Kammler et al. Nov 2006 A1
20060281271 Brown et al. Dec 2006 A1
20070042602 Watkins et al. Feb 2007 A1
20070057324 Tews et al. Mar 2007 A1
20070132013 Banerjee et al. Jun 2007 A1
20070166937 Adetutu et al. Jul 2007 A1
Foreign Referenced Citations (1)
Number Date Country
06326049 Nov 1994 JP