Methods for making hard masks useful in next-generation lithography

Information

  • Patent Grant
  • 11921427
  • Patent Number
    11,921,427
  • Date Filed
    Monday, November 11, 2019
    4 years ago
  • Date Issued
    Tuesday, March 5, 2024
    2 months ago
Abstract
Imaging layers on the surface of a substrate may be patterned using next generation lithographic techniques, and the resulting patterned film may be used as a lithographic mask, for example, for production of a semiconductor device.
Description
INCORPORATION BY REFERENCE

An Application Data Sheet is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed Application Data Sheet is incorporated by reference herein in its entirety and for all purposes.


FIELD

The present disclosure relates to systems and methods for making imaging layers on the surface of a substrate. Such imaging layers may be patterned using next generation lithographic techniques, and the resulting patterned film may be used as a lithographic mask, for example, for production of a semiconductor device.


BACKGROUND

The background description provided herein generally presents the context of the present technology. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are not admitted as prior art against the present technology.


The fabrication of semiconductor devices, such as integrated circuits, is a multi-step process involving photolithography. In general, the process includes the deposition of material on a wafer, and patterning the material through lithographic techniques to form structural features (e.g., transistors, conductors and other circuit features) of the semiconductor device. The steps of a typical photolithography process known in the art include: preparing the substrate; applying a photoresist, such as by spin coating; exposing the photoresist to light in a desired pattern, causing the exposed areas of the photoresist to become either more or less soluble in a developer solution; developing by applying a developer solution to remove either the exposed or the unexposed areas of the photoresist; and subsequent processing to create features on the areas of the substrate from which the photoresist has been removed, such as by etching or material deposition.


The evolution of semiconductor design has created the need, and has been driven by the ability, to create ever-smaller features on semiconductor substrate materials. This progression of technology has been characterized in “Moore's Law” as a doubling of the density of transistors in dense integrated circuits every two years. Indeed, chip design and manufacturing has progressed such that modern microprocessors may contain billions of transistors and other circuit features on a single chip. Individual features on such chips may be on the order of 22 nanometers (nm) or smaller, in some cases less than 10 nm.


One challenge in manufacturing devices having such small features is the ability to reliably and reproducibly create photolithographic masks having sufficient resolution. Current photolithography processes typically use 193 nm ultraviolet (UV) light to expose a photoresist. The fact that the light has a wavelength significantly greater than the desired size of the features to be produced on the semiconductor substrate creates inherent issues. Achieving feature sizes smaller than the wavelength of the light requires use of complex resolution enhancement techniques, such as multipatterning. Thus, there is significant interest and research effort in developing so-called “next-generation lithography” techniques using e-beam radiation or shorter wavelength light, such as extreme ultraviolet (EUV) radiation having wavelengths less than 20 nm, e.g., 13.5 nm.


Next generation lithographic techniques can present challenges, however. For example, the efficacy of EUV photolithographic processes can be limited by low power output of light sources and loss of light during patterning. Traditional organic chemically amplified resists (CAR) similar to those used in 193 nm UV lithography have potential drawbacks when used in EUV lithography, particularly as they have low absorption coefficients in EUV region and the diffusion of photo-activated chemical species can result in blur or line edge roughness. Furthermore, in order to provide the etch resistance required to pattern underlying device layers, the required thickness of conventional CAR materials can result in high aspect ratios at risk of pattern collapse. Accordingly, there remains a need for improved EUV photoresist materials, having such properties as decreased thickness, greater absorbance, and greater etch resistance.


SUMMARY

The present disclosure provides methods for making imaging layers on the surface of a substrate. Such imaging layers may be patterned using next generation lithographic techniques, generally such as DUV, EUV, X-ray and e-beam, into chemically distinct regions (i.e., surface imaging). The resulting patterned film may be used, for example, as a lithographic mask for production of a semiconductor device.


In some implementations, methods in accordance with this disclosure may involve creating an imaging layer of a SnOx thin film that is terminated with alkyl groups selected such that they will undergo tin-carbon bond cleavage, such as beta-hydride elimination, upon irradiation with EUV light. In an EUV patterning step, the alkyl groups can be cleaved, leaving regions of Sn—H bonds while the unexposed surfaces remain alkyl-terminated.


In one embodiment, a method of making an imaging layer on a substrate, involves providing a substrate having a surface comprising exposed hydroxyl groups, and forming a hydrocarbyl-terminated SnOx film as the imaging layer on the surface of the substrate, the hydrocarbyl-terminated SnOx film having a tin-carbon bond cleavable by irradiating the imaging layer.


In some embodiments, the substrate surface may include a SnOx underlayer to the hydrocarbyl-terminated SnOx film imaging layer. The hydroxyl-terminated SnOx underlayer on the surface of the substrate material can enhance absorption of radiation upon the irradiating of the imaging layer and generate secondary electrons from the substrate to further harvest additional EUV photons, making the EUV patterning process more sensitive and reducing the required EUV dose necessary for imaging layer exposure.


In various embodiments, the imaging layer may be deposited by an atomic layer deposition process exhibiting self-limiting characteristics. In other embodiments, the imaging layer is a thin film deposited by a (non-self-limiting) chemical vapor deposition process.


For example, the alky-substituted tin capping agent may be of the general formula

RnSnX4-n

wherein R is a C2-C10 alkyl or substituted alkyl substituent. X may be any suitable leaving group readily displaced by water to form hydroxyl intermediates, which in turn react with other Sn—X functionality to create Sn—O—Sn crosslinks. In various embodiments R is branched, having multiple beta-hydrogen atoms (the maximum corresponding to a tert-butyl substituent). For example, R may be t-butyl, t-pentyl, t-hexyl, cyclohexyl, isopropyl, isobutyl, sec-butyl, n-butyl, n-pentyl, or n-hexyl or derivatives thereof, as well as analogous materials containing one or more heteroatoms, such as fluorine, chlorine, bromine, iodine, nitrogen and oxygen.


The present technology also provides methods for forming a pattern on a surface of a coated substrate made by the methods of the present technology, using EUV or other radiation. Further processing of the coated substrate may exploit chemical differences in the exposed and unexposed regions, in particular the hydrocarbyl-terminated SnOx converted to hydrogen-terminated SnOx in the exposed region(s) of the imaging layer. The difference in properties between exposed and unexposed areas may be exploited in subsequent processing, such as by reacting the irradiated region, the unirradiated region, or both, with one or more reagents to selectively add material to or remove material from the imaging layer.


In various embodiments, the present technology provides methods of patterning thin etch resistant hard mask layers on the surface of a substrate, comprising:

    • providing a substrate comprising a substrate material having a surface comprising exposed hydroxyl groups;
    • depositing an imaging layer on the surface, the imaging layer comprising hydrocarbyl-terminated SnOx;
    • selectively irradiating the imaging layer, such that the imaging layer comprises an irradiated region wherein a hydrocarbyl-substituent on a SnOx moiety of the hydrocarbyl-terminated imaging layer is removed and/or converted to a hydrogen-terminated SnOx, and an unirradiated region wherein the imaging layer comprises the hydrocarbyl-terminated SnOx; and
    • processing the imaging layer by reacting the irradiated region, the unirradiated region, or both, with one or more reagents to selectively deposit or remove material from the imaging layer.


The irradiating may comprise the use of DUV, EUV, X-ray or e-beam radiation. In some embodiments, the processing further comprises oxidizing the hydrogen-terminated (Sn—H) functionality in the irradiated region to form a Sn—OH hydroxyl-terminated SnOx.


Further areas of applicability of the present technology will become apparent from the detailed description, the claims and the drawings. The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the technology.





BRIEF DESCRIPTION OF THE DRAWINGS

The present technology will become more fully understood from the detailed description and the accompanying drawings, of which:



FIG. 1 is a flow chart of an exemplary process of the present technology.



FIG. 2 depicts the general architecture of a substrate as it is formed in an exemplary process of the present technology.



FIG. 3 further depicts the general architecture of another substrate as it is formed in an exemplary process of the present technology.



FIG. 4 further depicts the general architecture of another substrate as it is formed in an exemplary process of the present technology.



FIG. 5 is a flow chart of an exemplary negative tone resist process of the present technology.



FIG. 6 schematically depicts the general architecture of a mask as it is formed in a negative tone resist process of FIG. 5.



FIGS. 7A-B depict with sample chemical structures the general architecture of a mask as it is formed in a negative tone resist process of FIG. 5.



FIG. 8 is a flow chart of an alternative exemplary negative tone resist process of the present technology.



FIG. 9 depicts the general architecture of a mask as it is formed in the negative tone resist process of FIG. 8.



FIG. 10 is a flow chart exemplifying a process of the present technology for forming self-assembled sol gels on a substrate.



FIG. 11 is a flow chart exemplifying a process of the present technology for forming self-assembled block co-polymers on a substrate.



FIG. 12 is a flow chart exemplifying a process of the present technology for selective growth of metal device structures on a substrate.



FIG. 13 depicts the general architecture of a substrate as metal is deposited in the process of FIG. 12.





DETAILED DESCRIPTION

Reference is made herein in detail to specific embodiments of the disclosure. Examples of the specific embodiments are illustrated in the accompanying drawings. While the disclosure will be described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the disclosure to such specific embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the disclosure. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. The present disclosure may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail so as to not unnecessarily obscure the present disclosure.


As discussed above, the present disclosure provides methods for making imaging layers on semiconductor substrates, which may be patterned using EUV or other next generation lithographic techniques. In addition to EUV, which includes the standard 13.5 nm EUV wavelength currently in use and development, the radiation sources most relevant to such lithography are DUV (deep-UV), which generally refers to use of 248 nm or 193 nm excimer laser sources, X-ray, which formally includes EUV at the lower energy range of the X-ray range, as well as e-beam, which can cover a wide energy range. Such methods include those where a substrate, having exposed hydroxyl groups, is contacted with a hydrocarbyl-substituted tin capping agent to form a hydrocarbyl-terminated SnOx film as the imaging layer on the surface of the substrate. In various embodiments, the imaging layer is a thin layer that may function as an adhesion layer over a substrate to facilitate selective deposition of additional materials on the substrate, such as in forming hard masks for lithographic processes. The specific methods may depend on the particular materials and applications used in the semiconductor substrate and ultimate semiconducting device. Thus, the methods described in this application are merely exemplary of the methods and materials that may be used in present technology.


Substrates


Substrates useful in the methods of the present technology may include any material construct suitable for lithographic processing, particularly for the production of integrated circuits and other semiconducting devices. In some embodiments, substrates are silicon wafers. Substrates may be silicon wafers upon which features have been created (“underlying topographical features”), having an irregular surface topography. (As referred to herein, the “surface” is a surface onto which a film of the present technology is to be deposited or that is to be exposed to EUV during processing.) Such underlying topographical features may include regions in which material has been removed (e.g., by etching) or regions in which materials have been added (e.g., by deposition) during processing prior to conducting a method of this technology. Such prior processing may include methods of this technology or other processing methods in an iterative process by which two or more layers of features are formed on the substrate.


In some embodiments, the substrate is a hard mask, which is used in lithographic etching of an underlying semiconductor material. The hard mask may comprise any of a variety of materials, including amorphous carbon (a-C), SnOx, SiO2, SiOxNy, SiOxC, Si3N4, TiO2, TiN, W, W-doped C, WOx, HfO2, ZrO2, and Al2O3. For example, the substrate may preferably comprise SnOx, such as SnO2. In various embodiments, the layer may be from 1 nm to 100 nm thick, or from 2 nm to 10 nm thick.


In various embodiments, the substrate comprises exposed hydroxyl groups on its surface. In general, the surface may be any surface that comprises, or has been treated to produce, an exposed hydroxyl surface. (As referred to herein, “surface” means a portion of the substrate which defines the boundary between the substrate and another material or lack thereof (e.g., a gas, coating or vacuum), and may be, in various embodiments, available for exposure to radiation or reaction with components in the other material.) Thus, methods may include “providing” such a substrate, wherein the substrate having exposed hydroxyl groups is obtained as a starting material apart from the methods of the present technology, or produced as part of a single process comprising formation of hydroxyl groups on a substrate followed by contacting the surface with a hydroxyl-substituted tin capping agent, as noted above and described further below. For example, such hydroxyl groups may be formed on the surface of the substrate by surface treatment of a substrate using oxygen plasma, water plasma, or ozone.


In some embodiments, a substrate comprising exposed hydroxyl groups comprises a surface layer or film comprising hydroxyl-terminated SnOx. For example, the substrate may comprise amorphous carbon having a surface of hydroxyl-terminated SnOx. Without limiting the mechanism, function or utility of present technology, it is believed that the hydroxyl-terminated SnOx layer may offer benefits such as improved adhesion of materials deposited on the surface of the substrate and enhanced absorption of EUV (or other radiation) during patterning. Sensitivity to EUV or other irradiation and resolution may be dependent on the properties of the SnOx layer, such as thickness, density and short range charge transfer characteristics. In various embodiments, the SnOx layer has a thickness of from 0.1 nm to 20 nm, or from 0.2 nm to 10 nm, or from 0.5 nm to 5 nm.


In some embodiments, the hydroxyl-terminated SnOx layer is deposited on the surface of the substrate by vapor deposition. In such methods, the deposition comprises reacting Sn—X with an oxygen-containing counter-reactant, wherein X is a ligand such as dialkylamido, (e.g., dimethylamido, methylethylamido, and diethylamido), alcohol (e.g., t-butoxy, and isopropoxy), halogen (e.g., F, Cl, Br, and I), or other organic substituent (e.g., acetylacetone, N2,N3-di-tertbutyl-butane-2,3-diamido). For example, Sn—Xn may be SnCl4, SnI4, or Sn(NR2)4 wherein R is =methyl or ethyl, or Sn(t-BuO)4. In some embodiments, multiple types of ligands are present. The oxygen-containing counter-reactant may be selected from the group consisting of water, hydrogen peroxide, formic acid, alcohols, oxygen, ozone, and combinations thereof.


Suitable vapor deposition processes include chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma-enhanced chemical vapor deposition (PECVD), or plasma-enhanced atomic layer deposition (PEALD). In some embodiments, the deposition is ALD, in a cyclical process of depositing the Sn—X and depositing the oxygen-containing counter-reactant. In some embodiments, the deposition is CVD, by simultaneously flowing the Sn—X and the oxygen-containing counter-reactant. Materials and processes among those useful herein for depositing SnOx layers are described in Nazarov et al., Atomic Layer Deposition of Tin Dioxide Nanofilms: A Review, 40 Rev. Adv. Mater. Sci 262 (2015).


In an exemplary continuous CVD process, two or more gas streams, in separate inlet paths, of Sn—Xn and source of oxygen-containing counter-reactant are introduced to the deposition chamber of a CVD apparatus, where they mix and react in the gas phase, to form the SnOx coating on the substrate. The streams may be introduced, for example, using a dual plenum showerhead. The apparatus is configured so that the streams of Sn—Xx and source of oxygen-containing counter-reactant are mixed in the chamber, allowing the Sn—Xx and source of oxygen-containing counter-reactant to react to form the SnOx layer. The CVD process is generally conducted at reduced pressures, such as from 0.1 Torr to 10 Torr. In some embodiments, the process is conducted at from 1-2 Torr. The temperature of the substrate is preferably below the temperature of the reactant streams. For example, the substrate temperature may be from 0° C. to 250° C., or from ambient temperature (e.g., 23° C.) to 150° C.


A SnOx substrate may also be deposited by an ALD process. For example, the Sn—Xn and oxygen-containing counter-reactant are introduced at separate times. The precursors react on the surface, forming up to a monolayer of material at a time for each pulse. This may allow excellent control over the uniformity of film thickness across the surface. The ALD process is generally conducted at reduced pressures, such as from 0.1 Torr to 10 Torr. In some embodiments, the process is conducted from 1-2 Torr. The substrate temperature may be from 0° C. to 250° C., or from ambient temperature (e.g., 23° C.) to 150° C. The process may be a thermal process or, preferably, a plasma-assisted deposition.


Tin Capping Agent


Hydrocarbyl-substituted tin capping agents useful herein include substituents that undergo tin-carbon bond cleavage upon irradiating of the imaging layer. Such cleavage may be homolytic. In some embodiments, the cleavage may occur by beta-hydride elimination to release an alkene fragment, leaving behind a hydrogen atom bonded to the tin atom that originally bore the alkyl substituent.


The specific tin capping agent may be selected depending on the intended lithographic process, i.e., the specific irradiation that is to be used. Further, the hydrocarbyl-substituted tin capping agent may be selected so as to serve as a barrier to subsequent deposition of materials onto the substrate. Thus, in some embodiments, the hydrocarbyl-substituted tin capping agent is an atomic layer deposition blocking agent to prevent the attachment or growth of soluble metal oxide precursors from solutions brought into contact with the surface.


In various embodiments, the hydrocarbyl-substituted tin capping agent is alkyl-substituted, such as an agent of the following general formula:

RnSnXm

wherein R is a C2-C10 alkyl or substituted alkyl having a beta-hydrogen, X is a suitable leaving group upon reaction with an hydroxyl group of the exposed hydroxyl groups, and, in various embodiments, n=1-3, and m=4−n. For example, R may be t-butyl, t-pentyl, t-hexyl, cyclohexyl, isopropyl, isobutyl, sec-butyl, n-butyl, n-pentyl, or n-hexyl or derivatives thereof having a heteroatom substituent in the beta position. Suitable heteroatoms include halogen (F, Cl, Br, or I), or oxygen (—OH or —OR). X may be dialkylamido, (e.g., dimethylamido, methylethylamido, or diethylamido), an alcohol (e.g. t-butoxy, isopropoxy), halogen (e.g., F, Cl, Br, or I), or another organic ligand. Examples of hydrocarbyl-substituted tin capping agents include t-butyltris(dimethylamino)tin, n-butyltris(dimethylamino)tin, t-butyltris(diethylamino) tin, di(t-butyl)di(dimethylamino)tin, secbutyltris(dimethylamino)tin, n-pentyltris(dimethylamino)tin, isobutyltris(dimethylamino)tin, isopropyltris(dimethylamino)tin, t-butyltris(t-butoxy)tin, n-butyl(tris(t-butoxy)tin, or isopropyltris(t-butoxy)tin.


Method of Making an Imaging Layer


In various aspects, methods of the present technology comprise:

    • providing the substrate having the surface, wherein the surface comprises exposed hydroxyl groups; and
    • contacting the surface with an hydrocarbyl-substituted tin capping agent, to form a hydrocarbyl-terminated SnOx film as the imaging layer on the surface of the substrate.


In general, contacting the surface with the hydrocarbyl-substituted tin capping agent may be performed using any suitable technique, preferably so as to create a uniform distribution of the capping agent on the surface. Such methods include vapor deposition techniques such as ALD and CVD. Water may also be dosed to aid in the reaction of the capping agent with the exposed hydroxyl groups of the substrate. Such methods may employ repeated applications of the capping agent and water to form a surface sufficiently saturated with the hydrocarbyl-substituted tin capping functionality. Exposure to additional alky-based reagents, such as alky thiols, may be used to form a surface having an increased level of hydrocarbyl substituents on the surface of the substrate.


In an exemplary continuous CVD process, two or more gas streams, in separate inlet paths, of Rn Sn—Xm and source of oxygen-containing counter-reactant are introduced to the deposition chamber of a CVD apparatus, where they mix, react in the gas phase, and condense to form the SnOx coating on the substrate. The streams may be introduced, for example, using dual-plenum showerhead. The apparatus is configured so that the streams of Rn Sn—Xm and source of oxygen-containing counter-reactant are mixed in the chamber, allowing the Rn Sn—Xm and source of oxygen-containing counter-reactant to react to form the SnOx layer. The CVD process is generally conducted at reduced pressures, such as from 0.1 Torr to 10 Torr. In some embodiments, the process is conducted at from 1-2 Torr. The temperature of the substrate is preferably below the temperature of the reactant streams. For example, the substrate temperature may be from 0° C. to 250° C., or from ambient temperature (e.g., 23° C.) to 150° C.


A SnOx imaging layer may also be deposited by an ALD process. In this case, the RnSn—Xm and oxygen-containing counter-reactant are introduced at separate times, representing an ALD cycle. The precursors react on a surface, forming up to a monolayer of material at a time for each ALD cycle. This allows for excellent control over the film thickness uniformity across the wafer. The ALD process is generally conducted at reduced pressures, such as from 0.1 Torr to 10 Torr. In some embodiments, the process is conducted from 1-2 Torr. The substrate temperature may be from 0° C. to 250° C., or from ambient temperature (e.g., 23° C.) to 150° C. The process will be a thermal-driven process. The film is not expected to grow significantly after the first cycle and subsequent cycling is designed to further saturate the surface with R terminated Sn.


Patterning


The present technology also provides methods wherein the imaging layer is patterned by exposing a region of the imaging layer to irradiation, such as EUV, DUV or e-beam. In such patterning, the radiation is focused on one or more regions of the imaging layer. The exposure is typically performed such that imaging layer film comprises one or more regions that are not exposed to the radiation. The resulting imaging layer may comprise a plurality of exposed and unexposed regions, creating a pattern consistent with the creation of transistor or other features of a semiconductor device, formed by addition or removal of material from the substrate in subsequent processing of the substrate. EUV, DUV and e-beam radiation methods and equipment among useful herein include methods and equipment known in the art.


In particular, areas of the imaging layer are created through patterning that have altered physical or chemical properties relative to unexposed areas. In particular, in various embodiments, the hydrocarbyl-terminated SnOx present on the surface is be converted to hydrogen-terminated SnOx in the exposed region(s) of the imaging layer, particularly when the exposure is performed in a vacuum using EUV. However, removing exposed imaging layers from vacuum into air, or the controlled introduction of oxygen, ozone, H2O2 or water, can result in the oxidation of surface Sn—H into Sn—OH The difference in properties between exposed and unexposed areas may be exploited in subsequent processing, such as by reacting the irradiated region, the unirradiated region, or both, with one or more reagents to selectively add material to or remove material from the imaging layer.


Accordingly, in various embodiments, the present technology provides methods of making a lithographic hard mask on the surface of a substrate, comprising:

    • providing a substrate comprising a substrate material having a surface comprising exposed hydroxyl groups;
    • depositing an imaging layer on the surface, the imaging layer comprising hydrocarbyl-terminated SnOx;
    • selectively irradiating the imaging layer, such that the imaging layer comprises an irradiated region wherein the hydrocarbyl-terminated SnOx of the imaging layer has been converted to hydrogen-terminated SnOx and an unirradiated region wherein the imaging layer comprises the hydrocarbyl-terminated SnOx; and
    • processing the imaging layer by reacting the irradiated region, the unirradiated region, or both, with one or more reagents to selectively add material to or remove material from the imaging layer.


      In various embodiments, the substrate material comprises amorphous carbon or SnOx.


Optionally, the imaging layer is heated or “baked” so as to remove excess moisture and drive the Sn—O—Sn cross-linking. For example, the sample can be baked at conditions so the R—Sn bonds will not be significantly cleaved, such as between 50° C. and 200° C. for 5 min. or between 70° C. and 150° C. for 2 min.


Lithographic Processing


As noted above, the subsequent processing of the imaging layer, following irradiation, will depend on the substrate materials and the desired features of the semiconducting device made using the substrate. For example, features may be created on the substrate by various lithographic techniques, such as using spin-coat application of films which become selectively soluble in liquid developers in either exposed (positive tone) or unexposed (negative tone) areas defined by a patterned exposure tool.


Lithographic methods of the present technology are generally depicted in the process flow of FIG. 1. As shown, a substrate is formed by depositing a hydroxyl-terminated SnOx “underlayer” on a substrate material (110). The hydroxyl-terminated SnOx underlayer on the surface of the substrate can enhance absorption of radiation upon the irradiating of the imaging layer and generate secondary electrons from the substrate to further harvest additional EUV photons, making the EUV patterning process more sensitive and reducing the required EUV dose necessary for imaging layer exposure.


An imaging layer is then formed by depositing the surface with a hydrocarbyl-substituted tin capping agent, to form a hydrocarbyl-terminated SnOx film on the surface of the substrate (120). The substrate is then exposed to radiation, (e.g., using EUV) (130), and, optionally, baked (140). The imaging layer surface is then processed (150). FIG. 2 depicts schematically and with sample chemical structures the general architecture of a substrate as it is formed in such a process. FIG. 3 depicts a specific instance of such a process, wherein the imaging layer is formed from the hydrocarbyl-substituted tin capping agent t-butylSn(N(CH3)2)3. FIG. 4 depicts an alternative for an active (EUV release) PR adhesion imaging layer formed from the tin capping agent is n-BuSn(OtBu)3.


In some methods, the processing comprises oxidizing the hydrogen-terminated SnOx in the irradiated region to form a hydroxyl-terminated SnOx. The oxidizing may comprise exposing the irradiated region to oxygen or water. In some methods, subsequent processing comprises removing the hydroxyl-terminated SnOx in the irradiated region to expose and etch underlying substrate material. The etching may be performed by treating the patterned film with dilute aqueous hydrofluoric acid or alternatively dilute aqueous tetramethylammonium hydroxide (TMAH). Processing may further comprise etching of an underlying substrate layer using oxygen plasma.


In some embodiments, processing further comprises depositing a metal oxide, which may be operable as a hard mask, on the hydroxyl-terminated SnOx of the irradiated region. Such hard mask material may comprise a metal oxide selected from the group consisting of SnO2, SiO2, TiO2, WOx, HfO2, ZrO2, Ta2O5, Nb2O5, B2O3, GeO2, ZnO, V2O5, and Al2O3. The deposition may be performed, for example, by ALD.


In some methods of the present technology, the hydrocarbyl-terminated SnOx of the unirradiated region is removed by hydrogen or methane plasma to expose the underlying amorphous carbon layer. Processing may further comprise etching of the underlying substrate material using oxygen plasma.


Without limiting the mechanism, function or utility of present technology, it is believed that, in some embodiments, lithographic methods of the present technology offer benefits over methods among those known in the art, such as avoiding the need to apply and remove wet resist formulations (e.g., avoiding scumming and pattern distortion), simplifying processes such as developing exposed substrates under vacuum in a continuous process following EUV or other irradiation, reducing pattern collapse through use of very thin metal oxide structures, improving line edge roughness, and offering the ability to tune hardmask chemistry to the specific substrate and semiconductor device design.


Additional Embodiments


As noted above, specific post-imaging methods and applications of the present technology may involve any of a variety of materials and processes depending on the substrate and desired device design. The processing of the imaging layer may depend on the substrate materials and the desired features of the semiconducting device to be made using the substrate. For example, features may be created on the substrate by various standard lithographic techniques, typically involving the application of films which become selectively soluble in developers in either exposed (positive tone) or unexposed (negative tone) areas defined by a patterned exposure tool. Processing may also include making lithographic masks comprising directed self-assembled (DSA) block co-polymers (BCP), directed self-assembly of sol-gels, and selective deposition of material (e.g., metal or metal oxide) by atomic layer deposition or chemical vapor deposition.


For example, in some embodiments, processing of the imaging layer and substrate creates a positive tone mask. Such methods may include:

    • selectively irradiating regions of an imaging layer of a substrate made by methods of the present technology;
    • oxidizing hydrogen-terminated SnOx in the irradiated region to form a hydroxyl-terminated SnOx such as reacting the irradiated regions with air or water;
    • contacting the irradiated regions with dilute aqueous hydrofluoric acid (HF) or dilute aqueous tetramethylammonium hydroxide (TMAH) to etch the exposed hydroxyl-terminated surface, exposing the underlying substrate material (e.g., amorphous carbon); and
    • etching the exposed underlayer with oxygen plasma.


In some embodiments, processing of the imaging layer and substrate creates a negative tone resist. For example, such methods may include:

    • selectively irradiating regions of an imaging layer of a substrate made by methods of the present technology;
    • oxidizing hydrogen-terminated SnOx in the irradiated region to form a hydroxyl-terminated SnOx, such as reacting the irradiated regions with air or water;
    • selectively depositing, such as by ALD, a metal oxide hard mask on the hydroxyl-terminated regions;
    • removing the unexposed regions (i.e., regions having hydrocarbyl-terminate SnOx) of the substrate, such as using an H2, CH4 or BCl3-based plasma, to expose the underlying substrate (e.g., amorphous carbon); and
    • etching the exposed underlying substrate with oxygen plasma.


Elements of one such process are depicted in a process flow in FIG. 5. FIGS. 6, and 7A-B depict the general architecture of a mask as it is formed (starting from the hydroxylation of the exposed surface) in one such negative tone resist process schematically and with sample chemical structures, respectively.


The elements of an alternative negative tone resist process are depicted in FIG. 8. In this process, the hydrogen-terminated SnOx in the irradiated region is not oxidized. Rather, a metal or metal oxide hard mask is deposited, such as by ALD, on the Sn—H surface of the irradiated region. General methods and conditions for ALD deposition of metals on hydride surfaces include those described in Kwon, et. al., Substrate Selectivity of (tBu-Allyl)Co(CO)3 during Thermal Atomic Layer Deposition of Cobalt, 24 Chem. Mater. 1025 (2012), and Lemaire, et. al., Understanding inherent substrate selectivity during atomic layer deposition: Effect of surface preparation, hydroxyl density, and metal oxide composition on nucleation mechanisms during tungsten ALD, 146 J. Chem. Phys. 052811 (2017). In such a process, the substrate may be maintained under vacuum from irradiation through the deposition of ALD, simplifying material handling procedures during the process and potentially offering efficiencies in manufacturing. FIG. 9 depicts the general architecture of a mask as it is formed in an alternative negative tone resist process.


In some embodiments, processing of the imaging layer and substrate employs negative tone patterning. For example, such methods may include:

    • selectively irradiating regions of an imaging layer of a substrate made by methods of the present technology;
    • oxidizing hydrogen-terminated SnOx in the irradiated region to form a hydroxyl-terminated SnOx such as reacting the irradiated regions with air or water;
    • selectively depositing solution of a metal sol-gel oxide (e.g., spin-coated tetraethylorthosilicate at pH 2 with nitric acid) on the hydroxyl-terminated regions to form a metal oxide etch mask on the irradiated regions;
    • removing (e.g., by rinsing) unreacted sol-gel solution;
    • removing the unexposed regions (i.e., regions having hydrocarbyl-terminated SnOx) of the substrate, such as using a hydrogen or methane plasma, to expose the underlying substrate (e.g., amorphous carbon); and
    • etching the exposed underlying substrate with oxygen plasma.


Materials and methods for making sol-gels are described in Hench, et al., The Sol-Gel Process, 90 Chem. Rev. 33 (1990), and Lu, et. al., Continuous formation of supported cubic and hexagonal mesoporous films by sol-gel dip-coating, 389 Nature 364 (1997). Elements of such a process are depicted in the process flow of FIG. 10.


In some embodiments, processing of the imaging layer and substrate comprises deposition and self-assembly of block co-polymers to pattern hydrophilic and hydrophobic regions of the imaging surface, to create a hard mask. For example, such methods for creating hard masks may include:

    • selectively irradiating regions of an imaging layer of a substrate made by methods of the present technology;
    • oxidizing hydrogen-terminated SnOx in the irradiated region to form a hydroxyl-terminated SnOx such as reacting the irradiated regions with air or water;
    • coating the surface with a block co-polymer reactants;
    • annealing the surface, to create an assembled block co-polymer;
    • selectively removing a substituent of the block co-polymer to form the mask; and
    • etching the exposed underlayer with oxygen plasma.


In some embodiments, a hydrophilic metal oxide may be deposited on hydrophilic regions of the imaging surface (i.e., the irradiated regions), prior to coating with the block co-polymer reactants, to create topography that guides self-assembly of the block co-polymers. Materials and methods for making self-assembled block co-polymers are described in Hamley, Nanostructure fabrication using block copolymers, 14 Nanotechnology R39 (2003). Elements of such a process are depicted in the process flow of FIG. 11. This approach can reduce the size of features and potentially reduce defects associated with BCP self-assembly.


The present technology also provides methods for selective growth of electroless metal device structures, such as for hard masks, on a substrate. For example, the surface may be exposed to aqueous solutions of oxidizing metal ions (such as Pd+2 salts) to selectively deposit catalytic Pd “seed” atom layers for subsequent selective growth of conductive cobalt, nickel or copper features by electroless deposition (ELD). In other embodiments, exposed “deprotected” areas of a thin tin-based imaging layer, which are no longer hydrophobic, may be selectively etched away by brief exposure to an aqueous acid etchant such a concentrated HF or solutions of oxalic acid.


For example, such methods comprise:

    • selectively irradiating regions of an imaging layer of substrate made by methods of the present technology;
    • selectively depositing a palladium (Pd) activation layer on the exposed regions (i.e., regions having Sn—H surface moieties) of the substrate; and
    • depositing, by electroless deposition, a metal, e.g., cobalt, on the Pd activation layer.


In various embodiments, the deposited metal is a late transition metal, such as cobalt, nickel, copper, or mixtures thereof. Without limiting the mechanism, function or utility of present technology, it is believed that, in some embodiments, such methods may provide a simple additive patterning approach for depositing metal features on a substrate only where such features are needed, in contrast to subtractive technologies known in the art that involve blanket deposition of metal followed by patterned removal. Elements of such a process are depicted in the process flow of FIG. 12 and illustrated in FIG. 13.


Conclusion


Methods are provided for making imaging layers on the surface of a substrate that may be patterned using next generation lithographic techniques into chemically distinct regions (i.e., surface imaging). The resulting patterned film may be used, for example, as a lithographic mask for production of a semiconductor device.


It is understood that the examples and embodiments described herein are for illustrative purposes only and that various modifications or changes in light thereof will be suggested to persons skilled in the art. Although various details have been omitted for clarity's sake, various design alternatives may be implemented. Therefore, the present examples are to be considered as illustrative and not restrictive, and the disclosure is not to be limited to the details given herein but may be modified within the scope of the disclosure and the appended claims.

Claims
  • 1. A method of making an imaging layer on a substrate, the method comprising: providing a substrate having a surface comprising exposed hydroxyl groups; andforming a hydrocarbyl-terminated SnOx film as an imaging layer on the surface of the substrate, the hydrocarbyl-terminated SnOx film having a tin-carbon bond cleavable by irradiating the imaging layer.
  • 2. The method of claim 1, wherein the forming the hydrocarbyl-terminated SnOx film imaging layer comprises contacting the surface of the substrate with a hydrocarbyl-substituted tin capping agent that undergoes tin-carbon bond cleavage upon the irradiating of the imaging layer.
  • 3. The method of claim 1, wherein the hydrocarbyl-substituted tin capping agent acts as a blocking agent to prevent attachment or growth of soluble metal oxide precursors from solutions brought into contact with the surface.
  • 4. The method of claim 2, wherein the hydrocarbyl-substituted tin capping agent is of the formula RnSnX4-n wherein R is a C2-C10 alkyl or substituted alkyl comprising a beta-hydrogen, X is a leaving group upon reaction with a hydroxyl group of the exposed hydroxyl groups, and n=1-3.
  • 5. The method of claim 4, wherein R is selected from the group consisting of t-butyl, t-pentyl, t-hexyl, cyclohexyl, isopropyl, isobutyl, sec-butyl, n-butyl, n-pentyl, n-hexyl, and derivatives thereof having a heteroatom substituent in the beta position.
  • 6. The method of claim 4, wherein X is selected from the group consisting of dialkylamido, alcohol, and halogen.
  • 7. The method of claim 2, wherein the hydrocarbyl-substituted tin capping agent is selected from the group consisting of t-butyltris(dimethylamino)tin, n-butyltris(dimethylamino)tin, t-butyltris(diethylamino)tin, isopropyltris(dimethylamino)tin, t-butyltris(t-butoxy)tin, n-butyltris(t-butoxy)tin, di(t-butyl)di(dimethylamino)tin, secbutyltris(dimethylamino)tin, n-pentyltris(dimethylamino)tin, isobutyltris(dimethylamino)tin, and isopropyltris(t-butoxy)tin.
  • 8. The method of claim 1, wherein the substrate comprises amorphous carbon (a-C), SnOx, SiO2, SiOxNy, SiOxC, Si3N4, TiO2, TiN, W, W-doped C, WOx, HfO2, ZrO2, Al2O3 or Bi2O3.
  • 9. The method of claim 1, wherein the providing comprises forming a hydroxyl-terminated SnOx layer on the surface of the substrate.
  • 10. The method of claim 9, wherein the forming comprises depositing a hydroxyl-terminated SnOx layer on the surface by vapor deposition.
  • 11. The method of claim 10, wherein the depositing comprises reaction of Sn—Xn with an oxygen-containing counter-reactant, wherein X is dialkylamido, alcohols, or halogen.
  • 12. The method of claim 11, wherein Sn—Xn is SnCl4, SnI4, or Sn(NR2)4 wherein R is methyl or ethyl, or Sn(t-BuO)4.
  • 13. The method of claim 11, wherein the oxygen-containing counter-reactant is selected from the group consisting of water, hydrogen peroxide, formic acid, alcohols, oxygen, ozone, oxygen plasma, water plasma, and combinations thereof.
  • 14. The method of claim 10, wherein the vapor deposition is chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma-enhanced chemical vapor deposition (PECVD), or plasma-enhanced atomic layer deposition (PEALD).
  • 15. The method of claim 10, wherein the depositing is an ALD cyclical process of depositing the Sn—Xn and depositing the oxygen-containing counter-reactant.
  • 16. The method of claim 10, wherein the depositing is a CVD process comprising simultaneously depositing the Sn—Xn and the oxygen-containing counter-reactant.
  • 17. The method of claim 1, wherein the imaging layer has a thickness of from 0.5 nm to 5 nm.
  • 18. The method of claim 1, wherein the substrate comprises underlying topographical features.
  • 19. The method of claim 1, further comprising irradiating the imaging layer to form at least one exposed region wherein hydrocarbyl-terminated SnOx is converted to hydrogen-terminated SnOx in the exposed region.
  • 20. The method of claim 19, wherein the irradiating comprises use of deep ultraviolet (DUV), extreme ultraviolet (EUV), X-ray, or e-beam radiation.
  • 21. The method of claim 19, wherein a hydroxyl-terminated SnOx layer on the surface of the substrate enhances absorption of radiation upon the irradiating of the imaging layer.
  • 22. The method of claim 1, wherein the irradiating comprises the use of EUV radiation.
  • 23. The method of claim 6, wherein X is selected from the group consisting of dimethylamido, methylethylamido, diethylamido, t-butoxy, isopropoxy, F, Cl, Br, and I.
  • 24. The method of claim 11, wherein X is selected from the group consisting of dimethylamido, methylethylamido, diethylamido, t-butoxy, isopropoxy, F, Cl, Br, and I.
  • 25. A method of making a lithographic hard mask on the surface of a substrate, comprising: providing a substrate comprising a substrate material having a surface comprising exposed hydroxyl groups;depositing an imaging layer on the surface, the imaging layer comprising hydrocarbyl-terminated SnOx;selectively irradiating the imaging layer, such that the imaging layer comprises an irradiated region wherein a hydrocarbyl-substitution on a SnOx moiety of the hydrocarbyl-terminated imaging layer is removed and/or converted to a hydrogen-terminated SnOx, and an unirradiated region wherein the imaging layer comprises the hydrocarbyl-terminated SnOx; andprocessing the imaging layer by reacting the irradiated region, the unirradiated region, or both, with one or more reagents to selectively add material to or remove material from the imaging layer.
  • 26. The method of claim 25, wherein the providing comprises depositing a hydroxyl-terminated SnOx layer on the surface of the substrate material by vapor deposition.
  • 27. The method of claim 25, wherein the depositing the imaging layer comprises contacting the surface of the substrate with a hydrocarbyl-substituted tin capping agent that undergoes tin-carbon bond cleavage upon the selectively irradiating of the imaging layer.
  • 28. The method of claim 27, wherein the hydrocarbyl-substituted tin capping agent is of the formula RnSnX4-n wherein R is a C2-C10 alkyl or substituted alkyl having a beta-hydrogen, X is a suitable leaving group upon reaction with a hydroxyl group of the exposed hydroxyl groups, and n=1-3.
  • 29. The method of claim 28, wherein the hydrocarbyl-substituted tin capping agent is t-butyltris(dimethylamino)tin, n-butyltris(dimethylamino)tin, t-butyltris(diethylamino)tin, isopropyltris(dimethylamino)tin, and t-butyltris(t-butoxy)tin, or n-butyl(tris(t-butoxy)tin.
  • 30. The method of claim 25, wherein the selectively irradiating comprises the use of DUV, EUV, X-ray or e-beam radiation.
  • 31. The method of claim 30, wherein the irradiating comprises the use of EUV radiation.
  • 32. The method of claim 25, wherein the hydrocarbyl-substitution on the SnOx moiety of the hydrocarbyl-terminated imaging layer is converted to a hydrogen-terminated SnOx by beta-hydride elimination.
  • 33. The method of claim 25, wherein the processing comprises oxidizing the hydrogen-terminated SnOx in the irradiated region to form a hydroxyl-terminated SnOx.
  • 34. The method of claim 33, wherein the oxidizing comprises exposing the irradiated region to oxygen or water.
  • 35. The method of claim 33, wherein the processing comprises removing the hydroxyl-terminated SnOx in the irradiated region to expose an underlying substrate material, and wherein the underlying substrate material comprises amorphous carbon.
  • 36. The method of claim 35, wherein the removing comprises treating the irradiated region to dilute hydrofluoric acid or dilute aqueous tetramethylammonium hydroxide (TMAH).
  • 37. The method of claim 35, wherein the processing further comprises etching of the underlying amorphous carbon using oxygen plasma.
  • 38. The method of claim 33, wherein the processing further comprises depositing a metal oxide hard mask on the hydroxyl-terminated SnOx of the irradiated region.
  • 39. The method of claim 38, wherein the metal oxide hard mask comprises a metal oxide selected from the group consisting of SnOx, SiO2, SiOxNy, SiOxC, TiO2, WOx, HfO2, ZrO2, Al2O3 and Bi2O3.
  • 40. The method of claim 25, further comprising selective deposition of a metal layer by atomic layer deposition solely on the hydrogen-terminated SnOx areas generated by selectively irradiating the imaging layer.
  • 41. The method of claim 25, wherein the hydrocarbyl-terminated SnOx of the unirradiated region is removed by hydrogen or methane plasma to expose an underlying substrate material, and wherein the underlying substrate material comprises amorphous carbon.
  • 42. The method of claim 41, wherein the processing further comprises etching of the underlying substrate material using an oxygen plasma.
PCT Information
Filing Document Filing Date Country Kind
PCT/US2019/060742 11/11/2019 WO
Publishing Document Publishing Date Country Kind
WO2020/102085 5/22/2020 WO A
US Referenced Citations (315)
Number Name Date Kind
3442648 Smith et al. May 1969 A
3513010 Notley May 1970 A
3529963 Marchese Sep 1970 A
3576755 Patella et al. Apr 1971 A
3720515 Stanley Mar 1973 A
4241165 Hughes et al. Dec 1980 A
4292384 Straughan et al. Sep 1981 A
4328298 Nester May 1982 A
4341592 Shortes et al. Jul 1982 A
4396704 Taylor Aug 1983 A
4590149 Nakane et al. May 1986 A
4738748 Kisa Apr 1988 A
4806456 Katoh Feb 1989 A
4814243 Ziger Mar 1989 A
4834834 Ehrlich et al. May 1989 A
4842989 Taniguchi et al. Jun 1989 A
4845053 Zajac Jul 1989 A
5077085 Schnur et al. Dec 1991 A
5322765 Clecak et al. Jun 1994 A
5399464 Lee Mar 1995 A
5445988 Schwalke Aug 1995 A
5534312 Hill et al. Jul 1996 A
5914278 Boitnott et al. Jun 1999 A
5925494 Horn Jul 1999 A
6017553 Burrell et al. Jan 2000 A
6162577 Felter et al. Dec 2000 A
6179922 Ishikawa et al. Jan 2001 B1
6261938 Beauvais et al. Jul 2001 B1
6290779 Saleh et al. Sep 2001 B1
6313035 Sandhu et al. Nov 2001 B1
6319654 Kim et al. Nov 2001 B1
6348239 Hill et al. Feb 2002 B1
6448097 Singh et al. Sep 2002 B1
6573030 Fairbairn et al. Jun 2003 B1
6607867 Kim et al. Aug 2003 B1
6797439 Alpay Sep 2004 B1
6833306 Lyding et al. Dec 2004 B2
6841341 Fairbairn et al. Jan 2005 B2
6841943 Vahedi et al. Jan 2005 B2
7169440 Balasubramaniam et al. Jan 2007 B2
7223526 Fairbairn et al. May 2007 B2
7335462 Fairbairn et al. Feb 2008 B2
7608367 Aigeldinger et al. Oct 2009 B1
8465903 Weidman et al. Jun 2013 B2
8536068 Weidman et al. Sep 2013 B2
8552334 Tappan et al. Oct 2013 B2
8664124 Graff Mar 2014 B2
8664513 Pfenninger et al. Mar 2014 B2
8703386 Bass et al. Apr 2014 B2
8808561 Kanarik Aug 2014 B2
8883028 Kanarik Nov 2014 B2
8883405 Shiobara Nov 2014 B2
9023731 Ji et al. May 2015 B2
9261784 Wuister et al. Feb 2016 B2
9281207 Stowers et al. Mar 2016 B2
9310684 Meyers et al. Apr 2016 B2
9551924 Burkhardt et al. Jan 2017 B2
9576811 Kanarik et al. Feb 2017 B2
9632411 Michaelson et al. Apr 2017 B2
9719169 Mohn et al. Aug 2017 B2
9778561 Marks et al. Oct 2017 B2
9823564 Stowers et al. Nov 2017 B2
9829805 Michaelson et al. Nov 2017 B2
9996004 Smith et al. Jun 2018 B2
10025179 Meyers et al. Jul 2018 B2
10228618 Meyers et al. Mar 2019 B2
10416554 Meyers et al. Sep 2019 B2
10514598 Marks et al. Dec 2019 B2
10566212 Kanarik Feb 2020 B2
10580585 Snaith et al. Mar 2020 B2
10627719 Waller et al. Apr 2020 B2
10642153 Meyers et al. May 2020 B2
10649328 Stowers et al. May 2020 B2
10732505 Meyers et al. Aug 2020 B1
10763083 Yang et al. Sep 2020 B2
10775696 Meyers et al. Sep 2020 B2
10782610 Stowers et al. Sep 2020 B2
10787466 Edson et al. Sep 2020 B2
10796912 Shamma et al. Oct 2020 B2
10831096 Marks et al. Nov 2020 B2
11209729 Marks et al. Dec 2021 B2
11257674 Shamma et al. Feb 2022 B2
11314168 Tan et al. Apr 2022 B2
20010024769 Donoghue et al. Sep 2001 A1
20010055731 Irie Dec 2001 A1
20020017243 Pyo Feb 2002 A1
20020180372 Yamazaki Dec 2002 A1
20020185067 Upham Dec 2002 A1
20030008246 Cheng et al. Jan 2003 A1
20030183244 Rossman Oct 2003 A1
20040113087 Ikeda et al. Jun 2004 A1
20040175631 Crocker et al. Sep 2004 A1
20040191423 Ruan et al. Sep 2004 A1
20040203256 Yang et al. Oct 2004 A1
20040213563 Irie Oct 2004 A1
20040233401 Irie Nov 2004 A1
20050167617 Derra et al. Aug 2005 A1
20050208389 Ishibashi et al. Sep 2005 A1
20050253077 Ikeda et al. Nov 2005 A1
20050257747 Wakabayashi et al. Nov 2005 A1
20060001064 Hill et al. Jan 2006 A1
20060068173 Kajiyama et al. Mar 2006 A1
20060147818 Lee Jul 2006 A1
20060151462 Lee et al. Jul 2006 A1
20060166537 Thompson et al. Jul 2006 A1
20060172530 Cheng et al. Aug 2006 A1
20060175558 Bakker et al. Aug 2006 A1
20060246713 Tsai et al. Nov 2006 A1
20060287207 Park et al. Dec 2006 A1
20070017386 Kamei Jan 2007 A1
20070037410 Chang et al. Feb 2007 A1
20070074541 Badding et al. Apr 2007 A1
20070117040 Brock et al. May 2007 A1
20070181816 Ikeda et al. Aug 2007 A1
20070212889 Abatchev et al. Sep 2007 A1
20070259492 Roh, II et al. Nov 2007 A1
20070287073 Goodwin Dec 2007 A1
20080070128 Wu et al. Mar 2008 A1
20080157011 Nagai et al. Jul 2008 A1
20090134119 Matsumaru et al. May 2009 A1
20090153826 Sewell et al. Jun 2009 A1
20090197086 Rathi et al. Aug 2009 A1
20090208880 Nemani et al. Aug 2009 A1
20090239155 Levinson et al. Sep 2009 A1
20090286402 Xia et al. Nov 2009 A1
20090305174 Shiobara et al. Dec 2009 A1
20090317742 Toriumi et al. Dec 2009 A1
20090321707 Metz et al. Dec 2009 A1
20090325387 Chen et al. Dec 2009 A1
20100009274 Yamamoto Jan 2010 A1
20100022078 Rockenberger et al. Jan 2010 A1
20100086880 Saito et al. Apr 2010 A1
20100131093 Yokoyama et al. May 2010 A1
20100197135 Ishizaka Aug 2010 A1
20100260994 Groenen et al. Oct 2010 A1
20100266969 Shiraishi et al. Oct 2010 A1
20100297847 Cheng et al. Nov 2010 A1
20100304027 Lee et al. Dec 2010 A1
20100310790 Chang et al. Dec 2010 A1
20110104595 Hayashi et al. May 2011 A1
20110195142 Mitamura et al. Aug 2011 A1
20110198756 Thenappan et al. Aug 2011 A1
20110209725 Kim et al. Sep 2011 A1
20110244680 Tohnoe et al. Oct 2011 A1
20110292356 Tsukinoki et al. Dec 2011 A1
20120024388 Burrows et al. Feb 2012 A1
20120068347 Isobayashi et al. Mar 2012 A1
20120088193 Weidman et al. Apr 2012 A1
20120088369 Weidman et al. Apr 2012 A1
20120090547 Wang et al. Apr 2012 A1
20120126358 Arnold et al. May 2012 A1
20120183689 Suzuki et al. Jul 2012 A1
20120193762 Lin et al. Aug 2012 A1
20120202357 Sato et al. Aug 2012 A1
20120223418 Stowers et al. Sep 2012 A1
20120322011 Wu et al. Dec 2012 A1
20130023124 Nemani et al. Jan 2013 A1
20130129995 Outtara et al. May 2013 A1
20130157177 Yu et al. Jun 2013 A1
20130164691 Shiobara Jun 2013 A1
20130177847 Chatterjee et al. Jul 2013 A1
20130183609 Seon et al. Jul 2013 A1
20130224652 Bass et al. Aug 2013 A1
20130273733 Tang et al. Oct 2013 A1
20130299089 Kim et al. Nov 2013 A1
20130330928 Ishikawa et al. Dec 2013 A1
20130330932 Rangarajan et al. Dec 2013 A1
20140014745 Burrows et al. Jan 2014 A1
20140120688 Booth, Jr. et al. May 2014 A1
20140134827 Swaminathan et al. May 2014 A1
20140170563 Hatakeyama Jun 2014 A1
20140170853 Shamma et al. Jun 2014 A1
20140175617 Antonelli et al. Jun 2014 A1
20140193580 Tiron et al. Jul 2014 A1
20140209015 Yamada et al. Jul 2014 A1
20140220489 Kozuma et al. Aug 2014 A1
20140239462 Shamma et al. Aug 2014 A1
20140263172 Xie et al. Sep 2014 A1
20140268082 Michaelson et al. Sep 2014 A1
20140272726 Chang Sep 2014 A1
20150020848 Kim et al. Jan 2015 A1
20150041809 Arnold et al. Feb 2015 A1
20150056542 Meyers et al. Feb 2015 A1
20150077733 Huang et al. Mar 2015 A1
20150079393 Freedman et al. Mar 2015 A1
20150125679 Ishikawa et al. May 2015 A1
20150132965 Devilliers et al. May 2015 A1
20150152551 Yamaguchi et al. Jun 2015 A1
20150170957 Tsao Jun 2015 A1
20150194343 Chi et al. Jul 2015 A1
20150217330 Haukka et al. Aug 2015 A1
20150218695 Odedra Aug 2015 A1
20150221519 Marks et al. Aug 2015 A1
20150243520 Park et al. Aug 2015 A1
20150303064 Singer et al. Oct 2015 A1
20150332922 Chien et al. Nov 2015 A1
20150355549 Xie et al. Dec 2015 A1
20160011505 Stowers et al. Jan 2016 A1
20160011516 deVilliers Jan 2016 A1
20160035631 Lee et al. Feb 2016 A1
20160041471 Briend et al. Feb 2016 A1
20160086864 Fischer et al. Mar 2016 A1
20160116839 Meyers et al. Apr 2016 A1
20160118246 Kang et al. Apr 2016 A1
20160135274 Fischer et al. May 2016 A1
20160179005 Shamma et al. Jun 2016 A1
20160216606 Meyers et al. Jul 2016 A1
20160284559 Kikuchi et al. Sep 2016 A1
20160293405 Matsumoto et al. Oct 2016 A1
20160314964 Tang et al. Oct 2016 A1
20160357103 Nagahara et al. Dec 2016 A1
20160357107 Buchberger, Jr. et al. Dec 2016 A1
20160365248 Mebarki et al. Dec 2016 A1
20160379824 Wise et al. Dec 2016 A1
20170010535 Fujitani et al. Jan 2017 A1
20170069462 Kanarik et al. Mar 2017 A1
20170102612 Meyers et al. Apr 2017 A1
20170146909 Smith et al. May 2017 A1
20170154766 Ogihara et al. Jun 2017 A1
20170168398 Zi et al. Jun 2017 A1
20170176858 Hirano Jun 2017 A1
20170184961 Nakagawa et al. Jun 2017 A1
20170192357 Carcasi et al. Jul 2017 A1
20170261850 Stowers et al. Sep 2017 A1
20170342553 Yu et al. Nov 2017 A1
20180004083 Marks et al. Jan 2018 A1
20180012759 Smith et al. Jan 2018 A1
20180039172 Stowers et al. Feb 2018 A1
20180039182 Zi et al. Feb 2018 A1
20180046086 Waller et al. Feb 2018 A1
20180061663 Chandrashekar et al. Mar 2018 A1
20180122648 Kim et al. May 2018 A1
20180151350 Li May 2018 A1
20180164689 Sano et al. Jun 2018 A1
20180166278 Belyansky et al. Jun 2018 A1
20180173096 Zi et al. Jun 2018 A1
20180224744 Bae et al. Aug 2018 A1
20180233362 Glodde et al. Aug 2018 A1
20180233398 Van Cleemput et al. Aug 2018 A1
20180307137 Meyers et al. Oct 2018 A1
20180308687 Smith et al. Oct 2018 A1
20180314167 Chang et al. Nov 2018 A1
20180323064 Jung et al. Nov 2018 A1
20180337046 Shamma et al. Nov 2018 A1
20180354804 Venkatasubramanian et al. Dec 2018 A1
20180356731 Tagawa Dec 2018 A1
20190027357 Girard et al. Jan 2019 A1
20190041755 Tanaka et al. Feb 2019 A1
20190043731 Bristol et al. Feb 2019 A1
20190094685 Marks et al. Mar 2019 A1
20190129307 Kwon et al. May 2019 A1
20190137870 Meyers et al. May 2019 A1
20190153001 Cardineau et al. May 2019 A1
20190163056 Maes et al. May 2019 A1
20190172714 Bobek et al. Jun 2019 A1
20190187556 Park et al. Jun 2019 A1
20190198338 Kim et al. Jun 2019 A1
20190244809 Ono Aug 2019 A1
20190259601 De Silva et al. Aug 2019 A1
20190308998 Cardineau et al. Oct 2019 A1
20190315781 Edson et al. Oct 2019 A1
20190315782 Edson et al. Oct 2019 A1
20190332014 Ookubo et al. Oct 2019 A1
20190333777 Hsieh et al. Oct 2019 A1
20190352776 Parikh Nov 2019 A1
20190369489 Meyers et al. Dec 2019 A1
20190391486 Jiang et al. Dec 2019 A1
20200064733 Meyers et al. Feb 2020 A1
20200066536 Yaegashi Feb 2020 A1
20200089104 Marks et al. Mar 2020 A1
20200124970 Kocsis et al. Apr 2020 A1
20200133131 Ouyang Apr 2020 A1
20200166845 Berendsen et al. May 2020 A1
20200174374 Liao et al. Jun 2020 A1
20200176246 Huotari et al. Jun 2020 A1
20200209756 Waller et al. Jul 2020 A1
20200239498 Clark et al. Jul 2020 A1
20200241413 Clark et al. Jul 2020 A1
20200257196 Meyers et al. Aug 2020 A1
20200292937 Stowers et al. Sep 2020 A1
20200326627 Jiang et al. Oct 2020 A1
20200393765 Sakanishi Dec 2020 A1
20210005425 Yang et al. Jan 2021 A1
20210013034 Wu et al. Jan 2021 A1
20210271170 Telecky et al. Sep 2021 A1
20210302833 Weng et al. Sep 2021 A1
20210305040 Kuo et al. Sep 2021 A1
20220020584 Volosskiy et al. Jan 2022 A1
20220035247 Tan et al. Feb 2022 A1
20220043334 Tan et al. Feb 2022 A1
20220075260 Marks et al. Mar 2022 A1
20220122846 Shamma et al. Apr 2022 A1
20220157617 Zhou et al. May 2022 A1
20220216050 Yu et al. Jul 2022 A1
20220244645 Tan et al. Aug 2022 A1
20220299877 Weidman et al. Sep 2022 A1
20220308454 Weidman et al. Sep 2022 A1
20220308462 Berney et al. Sep 2022 A1
20220342301 Weidman et al. Oct 2022 A1
20220344136 Peter et al. Oct 2022 A1
20220365434 Nardi et al. Nov 2022 A1
20230031955 Yu et al. Feb 2023 A1
20230045336 Yu et al. Feb 2023 A1
20230107357 Dictus et al. Apr 2023 A1
20230152701 Kanakasabapathy May 2023 A1
20230230811 Yu et al. Jul 2023 A1
20230259025 Hansen et al. Aug 2023 A1
20230266662 Marks et al. Aug 2023 A1
20230266664 Hansen et al. Aug 2023 A1
20230266670 Hansen et al. Aug 2023 A1
20230273516 Marks et al. Aug 2023 A1
20230288798 Hansen et al. Sep 2023 A1
20230290657 Yu et al. Sep 2023 A1
20230314946 Hansen et al. Oct 2023 A1
20230314954 Peter et al. Oct 2023 A1
Foreign Referenced Citations (153)
Number Date Country
102610516 Jul 2012 CN
103119695 May 2013 CN
103243310 Aug 2013 CN
105579906 May 2016 CN
106876251 Jun 2017 CN
108351594 Jul 2018 CN
108388079 Aug 2018 CN
109521657 Mar 2019 CN
109976097 Jul 2019 CN
111258190 Jun 2020 CN
0465064 Jan 1992 EP
1123423 Aug 2007 EP
2608247 Jun 2013 EP
3230294 Oct 2017 EP
3258317 Dec 2017 EP
S5119974 Feb 1976 JP
S57205736 Dec 1982 JP
S58108744 Jun 1983 JP
S6112653 Jan 1986 JP
H04226462 Aug 1992 JP
H0637050 Feb 1994 JP
H06169021 Jun 1994 JP
H06232041 Aug 1994 JP
07-106224 Apr 1995 JP
H0869959 Mar 1996 JP
H08316237 Nov 1996 JP
H08339950 Dec 1996 JP
H1041206 Feb 1998 JP
H10209133 Aug 1998 JP
2000305273 Nov 2000 JP
2000347413 Dec 2000 JP
2002100558 Apr 2002 JP
2003-213001 Jul 2003 JP
2003-532303 Oct 2003 JP
2004-006798 Jan 2004 JP
2004513515 Apr 2004 JP
2004259786 Sep 2004 JP
2005-504146 Feb 2005 JP
2005260015 Sep 2005 JP
2006-253282 Sep 2006 JP
2006310681 Nov 2006 JP
2007027617 Feb 2007 JP
2008091215 Apr 2008 JP
2009192350 Aug 2009 JP
2009212404 Sep 2009 JP
2010016083 Jan 2010 JP
2010016314 Jan 2010 JP
2010531931 Sep 2010 JP
2010239087 Oct 2010 JP
2011-520242 Jul 2011 JP
2011-529126 Dec 2011 JP
2012142481 Jul 2012 JP
2012-185485 Sep 2012 JP
2012173315 Sep 2012 JP
5055743 Oct 2012 JP
2013033291 Feb 2013 JP
2013047848 Mar 2013 JP
2013096011 May 2013 JP
2013526061 Jun 2013 JP
2013145874 Jul 2013 JP
2013151627 Aug 2013 JP
5544914 Jul 2014 JP
2014-521111 Aug 2014 JP
5705103 Apr 2015 JP
2015-201622 Nov 2015 JP
2016517633 Jun 2016 JP
2016131238 Jul 2016 JP
2016208027 Dec 2016 JP
2017045869 Mar 2017 JP
2017108053 Jun 2017 JP
2017116923 Jun 2017 JP
2018006742 Jan 2018 JP
2018502173 Jan 2018 JP
2018025686 Feb 2018 JP
2018518688 Jul 2018 JP
2018164076 Oct 2018 JP
2019500490 Jan 2019 JP
2019506730 Mar 2019 JP
2019053305 Apr 2019 JP
2019056730 Apr 2019 JP
2019135755 Aug 2019 JP
2019192814 Oct 2019 JP
2021523403 Sep 2021 JP
890015374 Oct 1989 KR
900001238 Mar 1990 KR
950001406 Jan 1995 KR
960000375 Jan 1996 KR
19990029141 Apr 1999 KR
20070003657 Jan 2007 KR
100841495 Jun 2008 KR
2009-0042059 Apr 2009 KR
20110007192 Jan 2011 KR
20130093038 Aug 2013 KR
101426105 Aug 2014 KR
20140106442 Sep 2014 KR
20150091260 Aug 2015 KR
20150127145 Nov 2015 KR
20160035995 Apr 2016 KR
20170066218 Jun 2017 KR
20170066225 Jun 2017 KR
20180036263 Apr 2018 KR
20180054917 May 2018 KR
20180116438 Oct 2018 KR
20190085654 Jul 2019 KR
20190139594 Dec 2019 KR
1365354 Jun 2012 TW
201224190 Jun 2012 TW
201241555 Oct 2012 TW
1494689 Aug 2015 TW
201729006 Aug 2017 TW
201734025 Oct 2017 TW
201837066 Oct 2018 TW
WO 03029015 Apr 2003 WO
WO 2004095551 Nov 2004 WO
WO-2007123539 Nov 2007 WO
WO-2008088076 Jul 2008 WO
WO 2011081151 Jul 2011 WO
WO-2011137059 Nov 2011 WO
WO 2012048094 Apr 2012 WO
WO-2013007442 Jan 2013 WO
WO 2014152023 Sep 2014 WO
WO-2016065120 Apr 2016 WO
WO 2016144960 Sep 2016 WO
WO 2017066319 Apr 2017 WO
WO-2017109040 Jun 2017 WO
WO-2017153725 Sep 2017 WO
WO 2017198418 Nov 2017 WO
WO 2018004551 Jan 2018 WO
WO-2018004646 Jan 2018 WO
WO-2018061670 Apr 2018 WO
WO-2018173446 Sep 2018 WO
WO-2019023797 Feb 2019 WO
WO-2019163455 Aug 2019 WO
WO 2019217749 Sep 2019 WO
WO-2019222320 Nov 2019 WO
WO-2019230462 Dec 2019 WO
WO-2019241402 Dec 2019 WO
WO-2020030855 Feb 2020 WO
WO-2020033602 Feb 2020 WO
WO-2020050035 Mar 2020 WO
WO 2020102085 May 2020 WO
WO-2020132281 Jun 2020 WO
WO-2020190941 Sep 2020 WO
WO-2020223011 Nov 2020 WO
WO-2020223152 Nov 2020 WO
WO2020263750 Dec 2020 WO
WO-2020264158 Dec 2020 WO
WO-2020264557 Dec 2020 WO
WO-2020264571 Dec 2020 WO
WO-2021067632 Apr 2021 WO
WO-2021072042 Apr 2021 WO
WO-2021146138 Jul 2021 WO
WO-2021202681 Oct 2021 WO
Non-Patent Literature Citations (221)
Entry
Notice of Allowance dated Aug. 22, 2017 issued in U.S. Appl. No. 14/610,038.
Final Office Action dated May 11, 2017 issued in U.S. Appl. No. 14/610,038.
Office Action dated Jan. 23, 2017 issued in U.S. Appl. No. 14/610,038.
Office Action dated May 21, 2018 issued in U.S. Appl. No. 15/691,659.
Final Office Action dated Sep. 10, 2018 issued in U.S. Appl. No. 15/691,659.
Office Action dated Apr. 9, 2019 issued in U.S. Appl. No. 15/691,659.
Notice of Allowance dated Sep. 19, 2019 issued in U.S. Appl. No. 15/691,659.
U.S. Office Action, dated Mar. 5, 2020, issued in U.S. Appl. No. 16/206,959.
Notice of Allowance, dated Jul. 28, 2020, issued in U.S. Appl. No. 16/206,959.
Office Action, dated May 14, 2021, issued in U.S. Appl. No. 16/691,508.
U.S. Office Action, dated Nov. 2, 2017, issued in U.S. Appl. No. 14/948,109.
U.S. Notice of Allowance, dated Apr. 25, 2018 issued in U.S. Appl. No. 14/948,109.
Japanese First Office Action dated Sep. 15, 2020 issued in Application No. JP 2016-220096.
Japanese Decision to Grant dated May 3, 2021 issued in Application No. JP 2016-220096.
Taiwanese First Office Action dated Aug. 10, 2020 issued in Application No. TW 105137362.
Taiwanese Second Office Action dated Nov. 18, 2020 issued in Application No. TW 105137362.
International Search Report and Written Opinion dated Apr. 24, 2020 issued in Application No. PCT/US2019/067540.
International Preliminary Report on Patentability dated Jul. 1, 2021 issued in Application No. PCT/US2019/067540.
International Search Report and Written Opinion dated Oct. 16, 2020 issued in Application No. PCT/US2020/039615.
International Search Report and Written Opinion dated Oct. 16, 2020 issued in Application No. PCT/US2020/070187.
International Search Report and Written Opinion dated Oct. 16, 2020 issued in Application No. PCT/US2020/070171.
International Search Report and Written Opinion dated Oct. 16, 2020 issued in Application No. PCT/US2020/070172.
International Search Report and Written Opinion dated Oct. 8, 2020 issued in Application No. PCT/US2020/038968.
International Search Report and Written Opinion dated Apr. 10, 2020 issued in Application No. PCT/US2019/060742.
International Preliminary Report on Patentability dated May 27, 2021 issued in Application No. PCT/US2019/060742.
International Search Report and Written Opinion dated Aug. 22, 2019 issued in Application No. PCT/US2019/031618.
International Search Report and Written Opinion dated Mar. 23, 2021 issued in Application No. PCT/US2020/053856.
International Search Report and Written Opinion dated Jan. 27, 2021 issued in Application No. PCT/US2020/054730.
International Search Report and Written Opinion dated May 12, 2021 issued in Application No. PCT/US2021/012953.
International Search Report and Written Opinion dated May 17, 2021 issued in Application No. PCT/US2021/015656.
Chinese First Office Action dated May 24, 2017 issued in Application No. CN 201510053668.7.
Chinese Second Office Action dated Feb. 28, 2018 issued in Application No. CN 201510053668.7.
Japanese First Office Action dated Oct. 30, 2018 issued in Application No. JP 2015-016254.
Japanese Decision to Grant dated Feb. 12, 2019 issued in Application No. JP 2015-016254.
Korean First Office Action dated Dec. 22, 2020 issued in Application No. KR 10-2015-0015184.
Korean Second Office Action dated Jul. 27, 2021 issued in Application No. KR 10-2015-0015184.
Taiwanese First Office Action dated May 31, 2018 issued in Application No. TW 104103153.
Stowers et al.; “Directly patterned inorganic hard mask for EUV lithography”; proceedings of the SPIE 7969; Extreme Ultraviolet (EUV) Lithography 11, 796915-1-11 (Apr. 7, 2011), event: SPI E Advanced Lithography, 2011, San Jose California.
Coons et al., (2010) “Comparison of EUV spectral and ion emission features from laser-produced Sn and Li plasmas,” Extreme Ultraviolet (EUV) Lithography, Proc. Of SPIE, 7636:763636-1 to 763636-7.
Gerritsen et al., (Apr. 1, 1986) “Laser-generated plasma as soft x-ray source,” J. Appl. Phys., 59(7):2337-2344.
“McGinniss, Vincent D.,” “Light Sources,” UV Curing: Science and Technology, edited by S. Peter Pappas; Technology Marketing Corporation, 642 Westover Rd., Stamford, CT, USA; (Jan. 1, 1978), pp. 96-129.
Spitzer et al., (Mar. 1, 1986) “Conversion efficiencies from laser-produced plasmas in the extreme ultraviolet regime,” J. Appl. Phys., 79(5):2251-2258.
Hench, L.L. And West, J.K., “The sol-gel process”, Chemical reviews, Jan. 1, 1990; 90(1) pp. 33-72.
Hamley, I.W., “Nanostructure fabrication using block copolymers”, Nanotechnology. Sep. 17, 2003;14(10):R39-R54.
Lu, Y., et al., “Continuous formation of supported cubic and hexagonal mesoporous films by sol-gel dip-coating” Nature, Sep. 1997, 389(6649), pp. 364-368.
Lemaire, P.C., et al., “Understanding inherent substrate selectivity during atomic layer deposition: Effect of surface preparation, hydroxyl density, and metal oxide composition on nucleation mechanisms during tungsten ALD” the Journal of chemical physics, Feb. 7, 2017, 146(5):052811.
Mackus, A.J., et al. “The use of atomic layer deposition in advanced nanopatterning”, Nanoscale. Jul. 25, 2014; 6(19):10941-60.
Nazarov, D.V., et al., “Atomic layer deposition of tin dioxide nanofilms: A review”, Rev. Adv. Mater. Sci. Jun. 1, 2015; 40(3):262-75.
Kwon, J., et al., “Substrate Selectivity of (tBu-Allyl)Co(CO)3 during Thermal Atomic Layer Deposition of Cobalt” Chemistry of Materials, Mar. 27, 2012; 24(6): pp. 1025-30.
Rantala, et al., “New resist and underlayer approaches toward EUV lithography” Proc. SPIE 10809, International Conference on Extreme Ultraviolet Lithography 2018, pp. 108090X-1-108090X-8. (Oct. 11, 2018). <doi:10.1117/12.2503107>.
Xu, et al., “Underlayer designs to enhance the performance of EUV resists” Proceedings of SPIE, vol. 7273, 2009, pp. 72731J-1-72730-11. <doi:10.1117/12.814223>.
U.S. Appl. No. 17/309,587, filed Jun. 8, 2021, Volosskiy et al.
U.S. Appl. No. 17/310,635, filed Aug. 13, 2021, Tan et al.
Banerjee, D et al., “Potential of Metal-Organic Frameworks for Separation of Xenon and Krypton”, Accounts of Chemical Research, 2015, vol. 48, No. 2, pp. 211-219.
Bespalov I., et al., “Key Role of Very Low Energy Electrons in Tin-Based Molecular Resists for Extreme Ultraviolet Nanolithography,” ACS Applied Materials & Interfaces, 2020, vol. 12, pp. 9881-9889.
Cardineau, B. et al., “EUV Resists Based on Tin-oxo Clusters”, Advances in Patterning Materials and Processes XXXI, Proceedings of SPIE, Apr. 4, 2014, vol. 9051, pp. 335-346.
Chiang C L., et al., “Secondary Electron Emission Characteristics of Oxide Electrodes in Flat Electron Emission Lamp,” AIP Advances, 2016, vol. 6, 015317, 9 Pages.
CN Office Action dated Jun. 1, 2023, in application No. CN201810783756 with English translation.
CN Office Action dated Jun. 8, 2022 in Application No. CN202180002531.2 With English Translation.
CN Office Action dated Nov. 16, 2022, in Application No. CN202180002531.2 with English translation.
CN Office Action dated Nov. 18, 2022, in Application No. CN201810783756.6 with English translation.
Cui L F., et al., “Endohedral Stannaspherenes M@Sn12: A Rich Class of Stable Molecular Cage Clusters,” Endohedral Tin Cages, 2007, vol. 46, pp. 742-745.
Danilo D.E., et al., “Metal Containing Resist Readiness for HVM EUV Lithography”, Journal of Photopolymer Science and Technology, 2016, vol. 29(3), pp. 501-507.
EP Extended European Search Report dated Jul. 13, 2023, in Application No. EP20831843.6.
EP Extended European Search report dated Jun. 23, 2023, in Application No. EP20831420.3.
EP Extended European Search report dated Jun. 26, 2023, in Application No. 20831242.1.
EP Extended European Search Report dated Jun. 26, 2023, in Application No. 20831343.7.
EP Extended European Search report dated Jun. 28, 2023, in Application No. EP 20832501.9.
EP Partial European Search Report dated Aug. 29, 2023, in Application No. 23173688.5.
European Search Report dated Feb. 15, 2022, in Application No. EP21741104.
European Office Action dated Feb. 25, 2022 in Application No. 21741104.
Extended European Search Report dated Dec. 23, 2021, in Application No. EP19800353.5.
Fan, Y. et al., (2016) “Benchmarking Study of EUV Resists for NXE:3300B,” Proc. of SPIE, 9776:97760W-1 to 97760W-11 [Downloaded From http://proceedings.spiedigitallibrary.org/ on Mar. 30, 2017].
Fitzgerald C B., et al., “Magnetism in Dilute Magnetic Oxide Thin Films Based on SnO2,” The American Physical Society, 2006, vol. 74, 115307, 10 Pages.
FUJIFILM Corp., (Presentation) “Negative tone development process for double patterning,” 5th International Symposium on Immersion Lithography, Sep. 2008, Presentation Slides No. P-1-P-27.
FUJIFILM Corp., (Safety Data Sheet) Name of Substance: n-Butyl acetate; Trade Name of Substance: FN-DP001 Ultra Pure Developer, Revision Date: Nov. 25, 2013, MSDS file: 16328_GB_EN_V2.0, pp. 1-9.
Gangnaik, A.S et al., (Jan. 12, 2017) “New Generation Electron Beam Resists: A Review,” Chem. Mater., 29:1898-1917.
Gross, R.A. et al., “Biodegradable Polymers for the Environment”, Science, Aug. 2, 2002, vol. 297, No. 5582, pp. 803-807.
Harrisson, S et al., “RAFT Polymerization of Vinyl Esters: Synthesis and Applications”, Polymers, 2014, vol. 6, No. 5, pp. 1437-1488.
International Preliminary Report on Patentability dated Oct. 13, 2022, in PCT Application No. PCT/US2021/023493.
International Preliminary Report on Patentability dated Oct. 13, 2022, in PCT Application No. PCT/US2021/025111.
International Search Report and Written Opinion dated Aug. 4, 2021, in PCT Application No. PCT/US2021/023493.
International Search Report and Written Opinion dated Jul. 13, 2021, in PCT Application No. PCT/US2021/023901.
International Search Report and Written Opinion dated Jul. 20, 2021, in PCT Application No. PCT/US2021/025111.
International Search Report and Written Opinion dated Mar. 4, 2022, in Application No. PCT/US2021/058647.
International Search Report and Written Opinion dated May 18, 2022, in International Application No. PCT/US2022/014984.
International Search Report and Written Opinion dated Nov. 11, 2022 in PCT Application No. PCT/US2022/037733.
International Search Report and Written Opinion dated Oct. 8, 2020 in Application No. WO2020US38968.
International Preliminary Report on Patentability dated Apr. 14, 2022 issued in Application No. PCT/US2020/053856.
International Preliminary Report on Patentability dated Aug. 18, 2022 in PCT Application No. PCT/US2021/015656.
International Preliminary Report on Patentability dated Aug. 24, 2023, in PCT Application No. PCT/US2022/014984.
International Preliminary Report on Patentability dated Jan. 27, 2021 in Application PCT/US2020/054730.
International Preliminary Report on Patentability dated Jan. 5, 2023 in PCT Application No. PCT/US2021/034019.
International Preliminary Report on Patentability dated Jan. 5, 2023 in PCT Application No. PCT/US2021/037924.
International Preliminary Report on Patentability dated Jan. 19, 2023 in PCT Application No. PCT/US2021/040381.
International Preliminary Report on Patentability dated Jan. 26, 2023 in PCT Application No. PCT/US2021/042103.
International Preliminary Report on Patentability dated Jan. 26, 2023 in PCT Application No. PCT/US2021/042104.
International Preliminary Report on Patentability dated Jan. 26, 2023 in PCT Application No. PCT/US2021/042106.
International Preliminary Report on Patentability dated Jan. 26, 2023 in PCT Application No. PCT/US2021/042107.
International Preliminary Report on Patentability dated Jan. 26, 2023 in PCT Application No. PCT/US2021/042108.
International Preliminary Report on Patentability dated Jan. 6, 2022 in PCT Application No. PCT/US2020/038968.
International Preliminary Report on Patentability dated Jan. 6, 2022 in PCT Application No. PCT/US2020/039615.
International Preliminary Report on Patentability dated Jan. 6, 2022 in PCT Application No. PCT/US2020/070171.
International Preliminary Report on Patentability dated Jan. 6, 2022 in PCT Application No. PCT/US2020/070172.
International Preliminary Report on Patentability dated Jan. 6, 2022 in PCT Application No. PCT/US2020/070187.
International Preliminary Report on Patentability dated Jul. 28, 2022 in PCT Application No. PCT/US2021/012953.
International Preliminary Report on Patentability dated Jun. 22, 2023, in Application No. PCT/US2021/061751.
International Preliminary Report on Patentability dated May 25, 2023, in Application No. PCT/US2021/058647.
International Preliminary Report on Patentability dated Nov. 11, 2021, for International Application No. PCT/US2020/028151.
International Preliminary Report on Patentability dated Nov. 28, 2019 issued in Application No. PCT/US2018/032783.
International Preliminary Report on Patentability dated Nov. 7, 2019 issued in Application No. PCT/US2018/028192.
International Preliminary Report on Patentability dated Oct. 13, 2022, in PCT Application No. PCT/US2021/023901.
International Preliminary Report on Patentability dated Sep. 7, 2023, in PCT Application No. PCT/US2022/014281.
International Preliminary Report on Patentability dated Sep. 9, 2022, in PCT Application No. PCT/US2021/019245.
International Preliminary Report on Patentability dated Sep. 30, 2021 issued in Application No. PCT/US2020/023146.
International Search Report and Written Opinion dated Apr. 17, 2023, in Application No. PCT/US2022/081390.
International Search Report and Written Opinion dated Apr. 17, 2023 in PCT Application No. PCT/US2022/081376.
International Search Report and Written Opinion dated Apr. 19, 2023, in Application No. PCT/US2022/081845.
International Search Report and Written Opinion dated Aug. 17, 2023, in Application No. PCT/US2023/019871.
International Search Report and Written Opinion dated Aug. 8, 2018 issued in Application No. PCT/US2018/028192.
International Search Report and Written Opinion dated Jul. 17, 2020 issued in Application No. PCT/US2020/023146.
International Search Report and Written Opinion dated Jul. 31, 2020, in PCT Application No. PCT/US2020/028151.
International Search Report and Written Opinion dated Mar. 24, 2022, for International Application No. PCT/US2021/061751.
International Search Report and Written Opinion dated May 3, 2023, in Application No. PCT/US2023/060306.
International Search Report and Written Opinion dated May 16, 2022, In International Application No. PCT/US2022/014281.
International Search Report and Written Opinion dated Nov. 3, 2021, in PCT Application No. PCT/US2021/042108.
International Search Report and Written Opinion dated Nov. 4, 2021 in PCT Application No. PCT/US2021/042103.
International Search Report and Written Opinion dated Nov. 4, 2021 in PCT Application No. PCT/US2021/042106.
International Search Report and Written Opinion dated Nov. 4, 2021 in PCT Application No. PCT/US2021/042107.
International Search Report and Written Opinion dated Nov. 10, 2021, in PCT Application No. PCT/US2021/042104.
International Search Report and Written Opinion dated Oct. 13, 2021, in application No. PCT/US2021/037924.
International Search Report and Written Opinion dated Oct. 16, 2018 issued in Application No. PCT/US2018/032783.
International Search Report and Written Opinion dated Oct. 28, 2021 in PCT Application No. PCT/US2021/040381.
International Search Report and Written Opinion dated Sep. 15, 2021, in PCT Application No. PCT/US2021/034019.
Jalife S., et al., “Noble Gas Endohedral Fullerenes,” Chemical Science, 2020, vol. 11, pp. 6642-6652.
Joo, W et al., “Synthesis of Unzipping Polyester and a Study of its Photochemistry”, Journal of the American Chemical Society, 2019, vol. 141, No. 37, pp. 14736-14741.
JP Office Action dated Jul. 26, 2022 in Application No. JP2021102822 With English translation.
JP Office Action dated Nov. 15, 2022, in Application No. JP2021-176082 with English translation.
JP Office Action dated Apr. 11, 2023 in Application No. JP2021-176082 with English translation.
JP Office Action dated Apr. 27, 2023 in Application No. JP2021-575910 with English translation.
JP Office Action dated Feb. 28, 2023 in Application No. JP2020-562160 with English translation.
JP Office Action dated Jan. 17, 2023, in Application No. JP2022-552422 with English translation.
JP Office Action dated Jun. 14, 2022, in Application No. JP20190563508 with English translation.
JP Office Action dated Jun. 27, 2023, in Application No. JP2022-552422 with English translation.
JP Office Action dated Jun. 27, 2023, in application No. JP2023-63868.
JP Office Action dated Jun. 28, 2022 in Application No. JP2021560945 with English translation.
JP Office Action dated Oct. 3, 2023 in Application No. JP2021-176082 with English Translation.
JP Office Action dated Oct. 10, 2023 in Application No. JP2022-552422 with English Translation.
JP Office Action dated Sep. 19, 2023 in Application No. JP2020-562160 with English translation.
Klepper, K.B et al., “Atomic Layer Deposition of Organic-inorganic Hybrid Materials Based on Saturated Linear Carboxylic Acids”, Dalton Transactions, May 7, 2011, vol. 40, No. 17, pp. 4337-4748.
Komen C V., et al., “Structure-Magnetic Property Relationship Intransition Metal (M=V,Cr, Mn, Fe, Co, Ni) Doped Sno2 Nanoparticles,” Journal of Applied Physics, 2008, vol. 103, 5 Pages.
Korean Decision for Grant dated Sep. 2, 2021 issued in Application No. KR 10-2015-0015184.
KR Office Action dated Feb. 8, 2022, in Application No. KR10-2021-7030794 with English Translation.
KR Office Action dated Aug. 11, 2023, in Application No. KR10-2023-7011840 with English translation.
KR Office Action dated Jan. 2, 2023 in Application No. KR10-2022-7027233 with English translation.
KR Office Action dated May 9, 2022, in Application No. KR1020217030794 with English translation.
KR Office Action dated Sep. 28, 2022, in Application No. KR10-2022-7027233 with English translation.
KR Prior Art Search Report dated Apr. 3, 2023, in application No. KR 10-2022-7029421.
KR Search Report dated Aug. 25, 2023, in Application No. KR10-2021-7022858.
Kvon V., et al., “Secondary Electron Emission of Tin and Tin-Lithium Under Low Energy Helium Plasma Exposure,” Nuclear Materials and Energy, 2017, vol. 13, pp. 21-27.
Lin Y., et al., “A New Examination of Secondary Electron Yield Data,” Surface and Interface Analysis, 2005, vol. 37, pp. 895-900.
Mai, L. et al., “Atomic/molecular Layer Deposition of Hybrid Inorganic-organic Thin Films from Erbium Guanidinate Precursor”, Journal of Materials Science, 2017, vol. 52, No. 11, pp. 6216-6224. https://doi.org/10.1007/s10853-017-0855-6.
Meng, X., “An Overview of Molecular Layer Deposition for Organic and Organic-inorganic Hybrid Materials: Mechanisms, Growth Characteristics, and Promising Applications”, Journal of Materials Chemistry A, 2017, vol. 5, pp. 18326-18378.
Molloy, K. C., “Precursors for the Formation of Tin (IV) Oxide and Related Materials”, Journal of Chemical Research, 2008, vol. 2008, No. 10, pp. 549-554.
Notice of Allowance dated Dec. 9, 2021 in U.S. Appl. No. 17/310,635.
Ogale S B., et al., “High Temperature Ferromagnetism with Giant Magnetic Moment in Transparent Co-doped SnO2-δ,” Physical Review Letters, 2003, 17 Pages.
Pedersen A., et al., “Lithiation of Tin Oxide: A Computational Study,” Integrated Systems Laboratory, 2014, 20 Pages.
Rothschild, et al., “Liquid immersion lithography: Why, how, and when?” Journal Vacuum Science Technology, Nov./Dec. 2004, pp. 2877-2881.
Santillan et al., “In Situ analysis of negative-tone resist pattern formation using organic-solvent-based developer process,” Applied Physics Express, vol. 7 (2014), pp. 016501-1-016501-4. [retrieved Sep. 20, 2017] URL: http:dx.doi.org/10.7567/APEX.7.016501.
Saunders M., et al., “Noble Gas Atoms Inside Fullerenes,” Science, 1996, vol. 271, pp. 1693-1697.
Singh R ., “Unexpected Magnetism In Nanomaterials,” Journal of Magnetism and Magnetic Materials, 2013, vol. 346, pp. 58-73.
Stulen, et al., “Extreme Ultraviolet Lithography” IEEE Journal of Quantum Electronics, vol. 35, No. 5, May 1999, pp. 694-699.
Sundberg, P. et al., “Organic and Inorganic-organic Thin Film Structures by Molecular Layer Deposition: A Review”, Beilstein Journal of Nanotechnology, 2014, vol. 5, pp. 1104-1136.
Taiwanese Office Action dated Apr. 10, 2023 in TW Application No. TW108140940 with English translation.
Tian Y F., et al., “Oxide Magnetic Semiconductors: Materials, Properties, and Devices,” Magnetism, Magnetic Materials, and Interdisciplinary Research, 2013, vol. 22(8), 2 Pages.
TW Office Action dated Jan. 9, 2023 In Application No. TW20190116155 with English translation.
TW Office Action dated Sep. 5, 2022, in Application No. TW110101388 with English translation.
TW Office Action dated Sep. 8, 2022 in Application No. TW111123386 with English translation.
TW First Office Action dated Oct. 6, 2021, in application No. TW20180116415 with English translation.
TW Office Action dated Apr. 29, 2022 in Application No. TW110118172 with English translation.
TW Office Action dated Aug. 17, 2023, in application No. TW110124741 with Translation.
TW Office Action dated Jan. 13, 2023, in Application No. TW110124741 with English translation.
TW Office Action dated Jan. 19, 2023 in Application No. TW110141961 with English translation.
TW Office Action dated Jun. 3, 2022, in Application No. TW110143913 with English translation.
TW Office Action dated Mar. 7, 2022, in Application No. TW110101388 with English translation.
TW Office Action dated May 16, 2023, in Application No. TW20190146890 with English translation.
U.S. Final Office Action, dated Feb. 5, 2019 issued in U.S. Appl. No. 15/495,701.
U.S. Final Office Action dated May 12, 2023 in U.S. Appl. No. 17/455,185.
U.S. Non-Final office Action dated Feb. 7, 2023 in U.S. Appl. No. 17/455,185.
U.S. Non-Final Office Action dated May 11, 2023, in U.S. Appl. No. 17/452,365.
U.S. Non-Final Office Action dated Oct. 13, 2023, in U.S. Appl. No. 18/184,545.
U.S. Non-Final Office Action dated Sep. 13, 2023, in U.S. Appl. No. 17/596,651.
U.S. Non-Final Office Action dated Sep. 28, 2023, in U.S. Appl. No. 15/733,598.
U.S. Notice of Allowance dated Aug. 21, 2023 in U.S. Appl. No. 17/452,365.
U.S. Notice of Allowance dated Feb. 22, 2022 in U.S. Appl. No. 17/310,635.
US Notice of Allowance, dated Jun. 10, 2020 issued in U.S. Appl. No. 15/979,340.
U.S. Notice of Allowance dated Sep. 15, 2021, issued in U.S. Appl. No. 16/691,508.
U.S. Notice of Allowance, dated Sep. 30, 2021 issued in U.S. Appl. No. 17/008,095.
U.S. Notice of Allowance dated Sep. 9, 2021, issued in U.S. Appl. No. 16/691,508.
U.S. Office Action, dated Aug. 9, 2018 issued in U.S. Appl. No. 15/495,701.
U.S. Office Action, dated Mar. 18, 2021 issued in U.S. Appl. No. 17/008,095.
U.S. Office Action, dated Nov. 6, 2019 issued in U.S. Appl. No. 15/979,340.
U.S. Appl. No. 17/759,896, inventors Nagabhirava et al., filed Aug. 1, 2022.
U.S. Appl. No. 17/905,754, inventors Kanakasabapathy et al., filed Sep. 6, 2022.
U.S. Appl. No. 18/005,571 inventors Hansen et al., filed Jan. 13, 2023.
U.S. Appl. No. 18/005,594, inventors Hansen et al., filed Jan. 13, 2023.
U.S. Appl. No. 18/254,787, inventors Dictus D, et al., filed May 26, 2023.
U.S. Appl. No. 18/264,391, inventors Kanakasabapathy S K, et al., filed Aug. 4, 2023.
U.S. Appl. No. 18/298,003, inventors Marks et al., filed Apr. 10, 2023.
U.S. Appl. No. 18/377,245, inventors Dictus D, et al., filed Oct. 5, 2023.
U.S. Appl. No. 18/377,267, inventors Yu J, et al., filed Oct. 5, 2023.
U.S. Appl. No. 18/546,879, inventors Weidman T W, et al., filed Aug. 17, 2023.
U.S. Restriction Requirement dated Nov. 14, 2022 in U.S. Appl. No. 17/455,185.
U.S. Restriction requirement dated Aug. 4, 2023, in U.S. Appl. No. 18/297,989.
U.S Restriction requirement dated Aug. 18, 2023 in U.S. Appl. No. 18/298,003.
U.S. Restriction requirement dated Sep. 7, 2023 in U.S. Appl. No. 17/309,587.
U.S. Supplemental Notice of Allowability dated Jan. 26, 2022, in U.S. Appl. No. 17/008,095.
Wang, et al., “Lithography Simulation for the Fabrication of Silicon Photonic Devices with Deep-Ultraviolet Lithography” IEEE, (2012) pp. 288-290.
Yoon, K. et al., “Fabrication of a New Type of Organic-inorganic Hybrid Superlattice Films Combined With Titanium Oxide and Polydiacetylene”, Nanoscale Research Letters, Jan. 5, 2012, vol. 7, No. 71, 6 pages.
Zhou, H. et al., “Molecular Layer Deposition of Functional Thin Films for Advanced Lithographic Patterning”, ACS Applied Materials & Interfaces, 2011, vol. 3, No. 2, pp. 505-511.
JP Office Action dated Dec. 26, 2023 in JP Application No. 2021-526240, with English Translation.
Related Publications (1)
Number Date Country
20210397085 A1 Dec 2021 US
Provisional Applications (1)
Number Date Country
62767198 Nov 2018 US