The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs and, for these advancements to be realized, similar developments in IC processing and manufacturing are needed.
As integrated circuit (IC) technologies progress towards smaller technology nodes, multi-gate transistors, such as gate-all-around (GAA) transistors, have been incorporated into memory devices (including, for example, static random-access memory, or SRAM, cells) to reduce chip footprint while maintaining reasonable processing margins. Although existing technologies for fabricating GAA transistors have been generally adequate for their intended purposes, they have not been entirely satisfactory in all aspects. For example, reduction in cell dimensions (e.g., gate pitch) has led to reduced landing area for device-level contacts at various nodes (e.g., CVSS nodes), causing potential increase in contact resistance.
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only.
In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a feature on, connected to, and/or coupled to another feature in the present disclosure that follows may include embodiments in which the features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the features, such that the features may not be in direct contact. In addition, spatially relative terms, for example, “lower,” “upper,” “horizontal,” “vertical,” “above,” “over,” “below,” “beneath,” “up,” “down,” “top,” “bottom,” etc. as well as derivatives thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) are used for ease of the present disclosure of one features relationship to another feature. The spatially relative terms are intended to cover different orientations of the device including the features.
Furthermore, when a number or a range of numbers is described with “about,” “approximate,” and the like, the term is intended to encompass numbers that are within a reasonable range including the number described, such as within +/− 10% of the number described or other values as understood by person skilled in the art. For example, the term “about 5 nm” encompasses the dimension range from 4.5 nm to 5.5 nm. Still further, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
The present disclosure is generally related to semiconductor devices, and more particularly to field-effect transistors (FETs), such as three-dimensional gate-all-around (GAA) FETs, in memory and/or standard logic cells of an integrated circuit (IC) structure. Generally, a GAA FET includes a plurality of vertically stacked sheets (e.g., nanosheets), wires (e.g., nanowires), or rods (e.g., nanorods) in a channel region of the FET, thereby allowing better gate control, lowered leakage current, and improved scaling capability for various IC applications. While existing technologies for fabricating GAA FETs have been generally adequate for their intended applications, they have not been entirely satisfactory in all aspects. The present disclosure includes multiple embodiments. Different embodiments may have different advantages, and no particular advantage is necessarily required of any embodiment.
Referring to
In the present embodiments, referring to
In the present embodiments, still referring to
Referring to FIG. IC, each SRAM cell 101 is configured to include p-type three-dimensional fin-like active regions 106 (hereafter referred to as p-type fins 106) each disposed in a p-type doped region 111 (hereafter referred to as p-well 111) and n-type three-dimensional fin-like active regions 108 (hereafter referred to as n-type fins 108) each disposed in an n-type doped region 110 (hereafter referred to as n-well 110), which is interposed between two p-wells 111. The p-type fins 106 and the n-type fins 108 are oriented lengthwise along Y-axis and spaced from each other along X-axis, which is substantially perpendicular to the Y-axis. As will be discussed in detail below, each p-type fin 106 includes a first set of vertically stacked semiconductor layers configured to provide channel regions of n-type GAA FETs, and each n-type fin 108 includes a second set of vertically stacked semiconductor layers configured to provide channel regions of p-type GAA FETs. The second set of vertically stacked semiconductor layers may differ from the first set of vertically stacked semiconductor layers in at least one dimension along the X-axis. In other words, a channel length of the n-type GAA FETs may differ from a channel length of the p-type GAA FETs. Various SRAM cells 101 may be configured for similar applications, such as a high-speed application, a low-power application, a super high-speed application, other suitable applications, or combinations thereof. Alternatively, different SRAM cells 101 may be configured for different applications and designed with different specifications (e.g., dimensions, layout designs, etc.) accordingly.
Various embodiments of portions of the SRAM array 100 are discussed in detail below.
In the present embodiments, referring to
In the present embodiments, each p-type fin 106 includes a stack of semiconductor layers 105 disposed over a base fin 102; similarly, and each n-type fin 108 includes a stack of semiconductor layers 107 disposed over a base fin 104. In the depicted embodiments, the semiconductor layers 105 and 107 are generally oriented lengthwise along the Y-axis and stacked vertically along the Z-axis. Furthermore, each stack of the semiconductor layers 105 interposes n-type source/drain (S/D) features 114N formed over the base fin 102, and each stack of the semiconductor layers 107 interposes p-type S/D features 114P formed over the base fin 104.
Each of the channel layers 105 and 107 may include Si, Ge, SiC, SiGe, GeSn, SiGeSn, SiGeCSn, other suitable semiconductor materials, or combinations thereof. In the present embodiments, each of the semiconductor layers 105 and 107 includes Si in the form of a nanosheet, a nanowire (e.g., a nanowire having a hexagonal cross-section), a nanorod (e.g., a nanorod having a square or round cross-section), or other suitable configurations. In some embodiments, the p-type fin 106 and the n-type fin 108 each include two to ten channel layers 105 and 107, respectively. For example, the p-type fin 106 and the n-type fin 108 may each include three channel layers 105 and three channel layers 107, respectively. Of course, the present disclosure is not limited to such configurations and the number of semiconductor layers may be tuned according to design requirements for the IC structure 10.
Still referring to
In the present embodiments, referring to
In the depicted embodiments, referring to
In the present embodiments, referring to
In some embodiments, referring to
PU-2 and PD-2. In some embodiments, PG-1 and PG-2 provide access to SN and SNB, respectively, during read operations and/or write operations. For example, PG-1 couples SN to BL and the PG-2 couples SNB to BLB in response to voltage applied by WL to the gate terminals of PG-1 and PG-2, respectively.
Referring to
Now referring collectively to
In the present embodiments, each SRAM cell 101 includes a plurality of S/D contacts 120A, 120B, 120C, and 120D oriented lengthwise along the X-axis. In the depicted embodiments, each S/D contact 120A lands on two n-type S/D features 114N, each S/D contact 120B lands on one n-type S/D feature 114N, each S/D contact 120C lands on one n-type S/D feature 114N and a neighboring p-type S/D feature 114P, and each S/D contact 120D lands on one p-type S/D feature 114P. In the present embodiments, as depicted in
In the present embodiments, referring to
Referring to
As semiconductor fabrication continues to advance toward smaller technology nodes, challenges arise with respect to maintaining device performance at reduced lengths scales. While multi-gate FETs, such as GAA FETs (also referred to as nanosheet, or NS, FETs), have generally improved the ability to scale down dimensions of SRAM cells by providing more channel widths (in the form of vertically stacked channel layers) without enlarging device footprint or impacting the scaling of the channel length, they have not been entirely satisfactory in all aspects. For example, reduction in spacings between gate stacks (i.e., the gate pitch) has led to reduced landing area for S/D contacts, especially at CVSS nodes, causing potential increase in contact resistance thereof. Generally, improvements in the performance of an SRAM cell, including its processing speed and stability (e.g., manifested as static noise margin, or SNM), may depend upon the parasitic resistance of PD transistors source nodes, which should be reduced as much as possible. Specifically, because the processing speed is correlated with the magnitude of cell current and the cell current is controlled by cascaded PG and PD transistors, increasing the processing speed may be accomplished by reducing resistance of the PD transistors' source nodes. Furthermore, because the SNM is generally proportional to the ratio of Ion_PD to Ion_PG, the cell's stability may also benefit from reduced resistance (i.e., increased cell current) at the PD transistors' source nodes.
The present disclosure provides GAA FET structures having improved contact landing area as well as enlarged via sizes (planar area), of the SRAM cells in an effort to reduce resistance of at least the CVSS nodes without enlarging the overall sizes of the cells or sacrificing the density thereof. In the present embodiments, each SRAM cell includes at least a GAA NFET (e.g., a PD transistor) whose source node (e.g., corresponding to the CVSS node) is formed from a portion of a p-type fin having an enlarged width compared to its channel region.
In the present embodiments, referring back to
In the present embodiments, collectively referring to
In some embodiments, collectively referring to
In some embodiments, a ratio of the width W4 to the width W1 is determined based on the spacing between two nearest p-type fins 106 disposed in neighboring SRAM cells 101. In some examples, the ratio of the width W4 to the width W1 may be at least about 1.5 and less than about 3. In other words, a ratio of the width of the protruding portion 142 to the width W1 is at least about 0.5 and less than about 2. While other ratios may also be applicable to the present embodiments, it is noted that a ratio of W4/W1 of less than about 1.5 may not provide sufficient enlargement in contact area for the subsequently formed S/D contacts for any enhancement in device performance to be realized. In some examples, W1 may be about 6 nm to about 50 nm and W4 may thus be about 12 nm to less than about 120 nm.
In some embodiments, referring to
S/D features and the subsequently-formed S/D contact. In some embodiments, enlarging the width W4 leads to unintentional enlargement of the width W1 (though to a lesser extent such that the width W1 remains less than the width W4) of the same PD transistor (e.g., the PD-1) with respect to portions of the same p-type fin 106 configured to provide a PG transistor (e.g., the PG-1). In some instances, as will be discussed in detail below, such enlargement may be attributed to a rounding effect of the lithographic patterning process during the formation of the p-type fin 106. Accordingly, the difference between the width W1 and the width W2, if greater than zero, may be about 2 nm to about 10 nm, where the specific value may be dependent upon operating parameters of the lithographic patterning process.
In some embodiments, still collectively referring to
Referring specifically to
T2 and T3, and a ratio of the layer thickness T3 to the width W3 is about 1 to about 2.5. Of course, other dimensions of the layer thickness may also be applicable to embodiments of the present disclosure. Furthermore, collectively referring to
Further to the embodiments depicted in
In the present embodiments, similar to the discussion regarding the protruding portion 142, the protruding portion 144 enlarges the area of the source terminal of the PU-1 and PU-2 (subsequently coupled to the CVDD node of the SRAM cell 101), thereby increasing its effective contact area with a subsequently-formed S/D contact, e.g., S/D contact 120D as depicted in
Still further to the embodiments depicted in
In some embodiments, referring to
In the present embodiments, the protruding portions 146 and the combination of the protruding portions 148A and 148B enlarge the area available to form the source terminal of the PG-1 and PG-2 (subsequently coupled to the BL node and the BLB node, respectively, of the SRAM cell 101), thereby increasing its effective contact area with a subsequently-formed S/D contact, e.g., S/D contact 120B as depicted in FIGA. 6B and 7B. Due to its proximity with the gate contact 122 disposed near or on the cell boundary and subsequently coupled to a landing pad of the WL, at least a portion of the p-type fins 106 configured to provide the source terminal for the PG-1 and PG-2 extends in a direction away from the nearest SRAM cell 101 to avoid inadvertent contact between the subsequently-formed S/D contact and the gate contact 122. Furthermore, in order to reduce potential interference with the adjacent n-well 110, the ratio of the width W6 to the width W2 is configured to be less than the ratio of the width W4 to the width W1. In some examples, the ratio of the width W6 to the width W2 may be at least about 1.3 and less than about 3. In some examples, a ratio of the width of the protruding portion 146 to the width W2 may be less than about 0.5. In some examples, a ratio of the sum of the widths of the protruding portions 148A and 148B to the width W2 may be less than about 0.5. Of course, other ratios may also be applicable to the present embodiments. In some examples, each SRAM cell 101 may include any one or more of the protruding portions 144, 146, and the combination of 148A and 148B in addition to the protruding portion 142.
Collectively referring to
As discussed above, the protruding portions 152, similar to the protruding portions 142, enlarges the contact area between the n-type S/D features 114N and the S/D contacts (e.g., the S/D contact 120A in
In some embodiments, a specific value of the ratio of the width W7 to the width W1 is determined based on the spacing between two nearest p-type fins 106 disposed in neighboring SRAM cells 101. In some examples, the ratio of the width W7 to the width W1 may be at least about 1.5 and less than about half of the separation distance between the adjacent p-type fins 106. In some examples, half of the separation distance may be about 10 times the width W1. In other words, a ratio of the width of the protruding portion 152 to the width W1 is at least about 0.5.
While other ratios may also be applicable to the present embodiments, a ratio W7/W1 of less than about 1.5 may not provide sufficient enlargement in contact area for the subsequently formed S/D contacts for any enhancement in device performance to be realized.
Further to the embodiments depicted in
Still further to the embodiments depicted in
At operation 402, the method 400 provides a workpiece, such as the IC structure 10, that includes the substrate 12 and various doped regions (e.g., n-wells 110 and p-wells 111) formed in or over the substrate 12. In the present embodiments, the substrate 12 includes silicon. Alternatively or additionally, the substrate 12 includes another elementary semiconductor, such as germanium; a compound semiconductor, such as silicon carbide, silicon phosphide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor, such as SiGe, SiPC, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In some embodiments, the substrate 12 is a semiconductor-on-insulator substrate, such as a silicon-on-insulator (SOI) substrate, a silicon germanium-on-insulator (SGOI) substrate, or a germanium-on-insulator (GOI) substrate. Semiconductor-on-insulator substrates can be fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, other suitable methods, or combinations thereof.
Each n-well 110 may be doped with an n-type dopant, such as phosphorus, arsenic, other n-type dopants, or combinations thereof. Each p-well 111 may be doped with a p-type dopant, such as boron, indium, other p-type dopants, or combinations thereof. In some embodiments, the substrate 12 includes doped regions formed with a combination of p-type dopants and n-type dopants. The various doped regions can be formed directly on and/or in the substrate 12, for example, providing a p-well structure, an n-well structure, a dual-well structure, a raised structure, or combinations thereof. Each of the various doped regions may be formed by performing an ion implantation process, a diffusion process, other suitable doping processes, or combinations thereof.
At operation 404, the method 400 forms a multi-layer stack of semiconductor materials (hereafter referred to as the “multi-layer stack” for short) over the substrate 12. In the present embodiments, the p-type fins 106 and the n-type fins 108 are formed from the multi-layer stack at subsequent operations of the method 400. In the present embodiments, the multi-layer stack includes alternating layers of semiconductor materials (e.g., alternating layers of epitaxially grown Si and epitaxially grown SiGe) grown in a series of epitaxy processes. The epitaxy process may include CVD deposition techniques (for example, vapor-phase epitaxy (VPE), ultra-high vacuum CVD (UHV-CVD), low-pressure CVD (LP-CVD), and/or plasma-enhanced CVD (PE-CVD)), molecular beam epitaxy, other suitable selective epitaxial growth (SEG) processes, or combinations thereof. The epitaxy process can use gaseous and/or liquid precursors, which interact with the composition of the underlying substrate. In some examples, the layers of the multi-stack may be provided in the form of nanosheets, nanowires, or nanorods. Subsequent processing may remove the second semiconductor layers (e.g., the SiGe layers), leaving behind the first semiconductor layers (e.g., the Si layers) in a suspending structure. Such a process, which will be discussed in detail below, may be referred to as the “wire release process” or “sheet formation process,” depending upon the configuration of the layers in the multi-layer stack. In the present embodiments, the remaining stack of Si semiconductor layers become the channel layers, such as the channel layers 105 and 107 configured to form a GAA NFET or a GAA PFET in the SRAM array 100 as discussed in detail above.
At operation 406, the method 400 forms semiconductor fins (alternatively referred to as fin active regions), such as the p-type fins 106 and the n-type fins 108, in the multi-layer stack. Accordingly, the p-type fins 106 and the n-type fins 108 each include alternating layers of Si and SiGe as discussed above with respect to the multi-layer stack. In some embodiments, the IC structure 10 includes additional semiconductor fins (not depicted herein) each having a single-layer structure for providing a FinFET rather than alternating layers of different semiconductor materials for providing a GAA FET.
In the present embodiments, the p-type fins 106 and the n-type fins 108 may be fabricated by directly patterning the multi-layer stack. The fabrication process may include forming a masking element including a photoresist layer, lithographically patterning the masking clement, and subsequently etching the multi-layer stack (and portions of the substrate 12) using the patterned masking element as an etch mask. The etching process may include dry etching, wet etching, reactive ion etching (RIE), other suitable processes, or combinations thereof. The resulting semiconductor fins may be doped with various dopants according to design requirements.
In the present embodiments, the direct patterning (or printing) process at operation 406 allows the p-type fins 106, and the n-type fins 108 in some instances (see embodiments depicted in
Notably, in contrast to single-layer fin structures that are generally formed by one or more spacer patterning process (e.g., double or multiple patterning processes), semiconductor fins formed from the multi-layer stack (e.g., the p-type fins 106 and the n-type fins 108) may be directly patterned or printed, which allows adjustment of the fin width at desired locations along the fin length, thereby achieving the widening of portions of the p-type fins 106 (and the n-type fins 108 in some embodiments) that correspond to the source nodes of the PD-1 and PD-2 (i.e., the CVSS nodes).
At operation 408, the method 400 forms the isolation structures 14 to insulate various components formed over the substrate 12. The isolation structures 14 may include STI, field oxide, LOCal oxidation of silicon (LOCOS), other suitable features comprising silicon oxide, silicon nitride, silicon oxynitride, other suitable dielectric materials, or combinations thereof. The isolation structures 14 may be formed by any suitable method. In some embodiments, the isolation structures 14 are formed by filling trenches between the semiconductor fins with a dielectric material, followed by applying a chemical mechanical planarization (CMP) process and an etch-back process to the dielectric material to form the isolation structures 14. The isolation structures 14 may include a multi-layer structure, for example, having one or more thermal oxide liner layers.
At operation 410, the method 400 forms one or more dummy gate stacks (not depicted) over the semiconductor fins. The dummy gate stacks may include, for example, an interfacial layer (including, for example, silicon oxide) and a dummy gate electrode layer (including, for example, polysilicon) formed over the interfacial layer. After forming other components (e.g., the S/D features 114N and 114P), portions of each dummy gate stack (e.g., the dummy gate electrode layer) is removed to form a gate trench in which at least a high-k gate dielectric layer (e.g., the high-k gate dielectric layer 132) and a metal gate electrode (e.g., the metal gate electrode 134) are subsequently formed to complete the fabrication of each of the gate stacks 130A-130D. Various material layers of the dummy gate stacks may be first deposited as a blanket layer over the semiconductor fins and subsequently patterned, followed by one or more etching process, to form the dummy gate stacks in a desired configuration in the SRAM cells 101.
The top gate spacers of the GAA FETs, such as the top spacers 116A, may be formed on sidewalls of the dummy gate stacks at operation 410. The top spacers 116A may include silicon nitride, silicon oxide, silicon carbide, silicon carbide nitride, silicon oxynitride, silicon oxycarbide, silicon oxycarbide nitride, a low-k dielectric material, other materials, or a combination thereof. The top spacers 116A may be formed by first depositing a spacer layer over the dummy gate stacks and subsequently performing an anisotropic etching process to the spacer layer, leaving behind the top spacers 116A on the sidewalls of the dummy gate stacks.
At operation 412, the method 400 forms the n-type S/D features 114N and the p-type S/D features 114P in the S/D regions of each semiconductor fin. The n-type S/D features 114N may include epitaxially grown semiconductor material(s) such as epitaxially grown Si or SiC configured to form GAA NFETs, and may additionally include one or more n-type dopants, such as phosphorus or arsenic. The p-type S/D epitaxial features 114P may include epitaxially grown semiconductor material(s) such as epitaxially grown SiGe configured to form GAA PFETs, and may additionally include one or more p-type dopants, such as boron or indium. Each S/D feature may include one or more epitaxially grown semiconductor layers. The n-type S/D features 114N may be grown in p-type fins, such as the p-type fins 106, while the p-type S/D features 114P may be grown in n-type fins, such as the n-type fins 108. Forming the S/D features may include removing portions of each semiconductor fin in its S/D regions to form an S/D recess and subsequently performing a suitable epitaxy process (discussed in detail above with reference to the multi-layer stack) to form the S/D features. In some embodiments, annealing processes are performed to activate dopants in the S/D features and/or other S/D regions, such as HDD regions and/or LDD regions.
In the present embodiments, before forming the S/D features in the S/D recess, portions of the non-channel layers (e.g., the SiGe layers) of the multi-layer stack exposed in the S/D recess are selectively removed to form openings and a dielectric layer is subsequently deposited in the openings to form inner spacers, such as the inner spacers 116B. The inner spacers 116B may include silicon nitride, silicon oxide, silicon carbide, silicon carbide nitride, silicon oxynitride, silicon oxycarbide, silicon oxycarbide nitride, a low-k dielectric material, other materials, or a combination thereof. The inner spacers 116B may include a single-layered structure of a multi-layered structure. A composition of the inner spacers 116B may be similar to or different from that of the top spacers 116A, which has been discussed in detail above.
At operation 414, the method 400 removes the dummy gate stacks to form a plurality of gate trenches. Forming the gate trenches may include forming an ILD layer (e.g., ILD layer 18) over the S/D features and the dummy gate stacks. The ILD layer may include any suitable dielectric material that is generally different from the composition of the ESL 133. For example, the ILD layer 18 may include a low-k dielectric material, silicon oxide, doped silicate glass, other suitable materials, or combinations thereof, and may be formed by any suitable method, such as spin-on-glass or flowable CVD. A top surface of the ILD layer may be planarized using one or more CMP process.
At operation 416, the method 400 performs one or more etching process, such as a dry etching process and/or a wet etching process, to remove the non-channel layers (e.g., the SiGe layers) from the multi-layer stack, leaving behind the channel layers (e.g., the Si layers) in a suspending structure. In other words, after removing the non-channel layers, multiple openings are inserted within the stack of channel layers. In the present embodiments, the one or more etching process selectively remove the non-channel layers without removing or substantially remove the channel layers. In one such example, the one or more etching process includes applying a fluorine-containing etching gas.
At operation 418, the method 400 forms the gate stacks 130A-130D in the gate trenches as well as the openings formed between the channel layers. In the present embodiments, each gate stack 130A-130D includes at least the high-k gate dielectric layer 132 and the metal gate electrode 134. In the present embodiments, portions of the high-k gate dielectric layer 132 wrap around each channel layer, such that each gate stack 130A-130D engages with the plurality of channel layers in each GAA FET. The high-k gate dielectric layer 132 may include silicon oxide, silicon oxynitride, aluminum silicon oxide, a high-k dielectric material such as hafnium oxide, zirconium oxide, lanthanum oxide, titanium oxide, yttrium oxide, strontium titanate, other suitable dielectric materials, or combinations thereof. Though not depicted, each metal gate electrode 134 may further include a bulk conductive layer disposed over at least one work function metal layer. The bulk conductive layer may include Cu, W, Ru, Co, Al, Ti, Ta, other suitable metals, or combinations thereof. In some examples, each gate stack may include one or more work function metal layer of the same conductivity type or of different conductivity types. Examples of the work function metal layers may include TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, other suitable work function materials, or combinations thereof. Various work function metal layers may be first deposited and then patterned to satisfy different requirements of threshold voltage in different GAA FETs. Additional material layers may also be included in each gate stack, such as an interfacial layer, a barrier layer, a capping layer, other suitable materials layers, or combinations thereof. Various layers of the gate stacks may be deposited by any suitable method, such as chemical oxidation, thermal oxidation, ALD, CVD, PVD, plating, other suitable methods, or combinations thereof.
At operation 420, the method 400 forms the S/D contacts 120A-120D to interconnect various S/D features with the subsequently-formed MLI structures. In the present embodiments, the S/D contacts 120A-120D are disposed in the ILD layer 18. The S/D contacts 120A-120D may include any suitable conductive material, such as Cu, W, Ru, Co, Al, Ti, Ta, other suitable metals, or combinations thereof. Each S/D contact 120A-120D may further include a barrier layer comprising any suitable material, such as Ti, Ta, TiN, TaN, other suitable materials, or combinations thereof. Forming the S/D contacts 120A-120D may include implementing lithography processes and/or etching processes to form openings (trenches), such as contact openings, in the ILD layer 18 and through the ESL 133. Thereafter, the opening(s) are filled with one or more conductive materials by PVD, CVD, ALD, plating, other suitable deposition processes, or combinations thereof. Thereafter, any excess conductive material(s) may be removed by a CMP process, thereby planarizing a top surface of ILD layer 18 and a top surface of the S/D contacts 120A-120D.
In some embodiments, a silicide layer (not depicted) is formed over the S/D features in the contact openings before forming the S/D contacts 120A-120D. The silicide layer may be formed by first depositing a metal layer over the S/D features, performing an annealing process to allow the metal layer to react with the materials of the S/D features, and subsequently removing un-reacted portions of the metal layer to leave behind the silicide layer. The silicide layer may include nickel silicide, titanium silicide, cobalt silicide, other suitable silicides, or combinations thereof.
At operation 422, the method 400 performs additional processing steps including, for example, forming the MLI structure over the IC structure 10. As provided herein, the MLI structure may include a plurality of conductive features configured to interconnect various components of the SRAM cells 101 with additional features to ensure the proper performance of the IC structure 10. The MLI structure may include electrically conductive interconnect features, such as the vias 126A-126D and metal layers M1-M3, disposed in and/or separated by dielectric layers, such as the ESL 133 and the ILD layer 20. Each electrically conductive interconnect feature may Cu, W, Ru, Co, Al, Ti, Ta, other suitable metals, or combinations thereof. In some embodiments, a barrier layer comprising Ti, Ta, TiN, TaN, other suitable materials, or combinations thereof, may be formed in the opening before depositing the conductive material. Each dielectric layer may include a low-k dielectric material, silicon oxide, other suitable dielectric materials, or combinations thereof, and may be formed by spin-on-glass, FCVD, other suitable methods, or combinations thereof. Methods of forming the various interconnect structures may be similar to that of forming the S/D contacts 120A-120D as discussed above.
Although not intended to be limiting, one or more embodiments of the present disclosure provide many benefits to a semiconductor device and the formation thereof. For example, the present embodiments provide an array of memory cells, such as SRAM cells, in an IC structure, where each SRAM cell includes GAA NFETs, such as PD and PG transistors, formed over p-type fins and GAA PFETs, such as PU transistors, formed over n-type fins, and where each of the p-type fins and n-type fins includes a stack of semiconductor (channel) layers engaged with a gate structure. In the present embodiments, a width of a first portion of the p-type fin providing the source terminal of the PD device in each SRAM cell is greater than a width of a second portion of the p-type fin configured as the channel region of the same PD transistor. Furthermore, the present embodiments provide that the first portion protrudes laterally toward an adjacent SRAM cell. In some embodiments, the first portion of one SRAM cell merges with the first portion of an adjacent p-type fin in a neighboring SRAM cell. In some embodiments, in addition to the first and the second portions of the p-type fin having varying widths, the portions of the same p-type fin configured to provide the PG transistor also have different widths. For example, a width of a third portion of the p-type fin providing the source terminal of the PG transistor is greater than a width of a fourth portion of the p-type fin configured as the channel region of the same PG transistor. In some embodiments, in addition to the first and the second portions of the p-type fin having varying widths, the portions of the n-type fin configured to provide the PU device also have different widths. For example, a width of a first portion of the n-type fin providing the source terminal of the PU transistor is greater than a width of a second portion of the n-type fin configured as the channel region of the same PU device, where the first portion of the n-type fin protrudes laterally away from the nearest adjacent PG transistor. The present embodiments provide GAA FETs having enlarged S/D features at source terminals of various GAA FETs, leading to improved contact landing area for subsequently formed S/D contacts as well as increased processing speed of SRAM cells without enlarging the overall footprint of the cells.
In one aspect, the present disclosure provides an IC structure that includes a first SRAM cell and a second SRAM cell that is adjacent to the first SRAM cell, where a layout of the second SRAM cell is a mirror image of that of the first SRAM cell about a vertical cell boundary. In the present embodiments, the first SRAM cell includes a first pull-down (PD) device and a second PD device disposed over a first fin and a second fin, respectively, where a portion of the first fin and a portion of the second fin corresponding to a channel region of the first and the second PD devices, respectively, each include a first stack of semiconductor layers defined by a channel width W1, and a portion of the first fin and a portion of the second fin providing a source terminal of the first and the second PD devices, respectively, are each defined by a width W1′ that is enlarged with respect to the channel width W1 by a width W2. Furthermore, in the present embodiments, the enlargement of the width W1′ with respect to the channel width W1 extends laterally toward the second SRAM cell.
In another aspect, the present disclosure provides an SRAM cell as a part of an IC structure that includes a first pull-down (PD) device and a second PD device, a first pass-gate (PG) device and a second PG device, where the first PD device and the first PG device are disposed over a first fin and the second PD device and the second PG device are disposed over a second fin, a first pull-up (PU) device disposed over a third fin and a second PU device disposed over a fourth fin, the first and the second PU devices being cross-coupled with the first and the second PD devices, respectively. In the present embodiments, a first portion of the first and the second fins configured as a channel region of each of the first and the second PD devices, respectively, includes a stack of semiconductor layers defined by a width W1, and a second portion of the first and the second fins configured as a source region of each of the first and the second PD devices, respectively, includes a portion protruding beyond the first and the second PD devices' respective channel region and away from the first and the second PU devices, the second portion being defined by a width W2 that is greater than the width W1, where a source feature is disposed over the protruding portion of each of the first and the second PD devices.
In yet another aspect, the present disclosure provides an IC structure having a first SRAM cell that includes a first pull-down (PD) device and a first pass-gate (PG) device disposed over a first fin, a second PD device and a second PG device disposed over a second fin, a first pull-up (PU) device disposed over a third fin and cross-coupled with the first PD device, and a second PU device disposed over a fourth fin and cross-coupled with the second PD device. In the present embodiments, channel regions of the first and the second PD devices disposed in the first fin and the second fin, respectively, each include a stack of semiconductor layers defined by a channel width W1, and portions of the first and the second fins configured to provide source terminals of the first and the second PD devices, respectively, are each defined by a width W2 and include a first protruding portion that extends away from the first and the second PU devices, such that the width W2 is greater than the channel width W1. Furthermore, in the present embodiments, the IC structure further includes a second SRAM cell disposed laterally adjacent to the first SRAM cell, where a layout of the second SRAM cell mirrors a layout of the first SRAM cell about a cell boundary disposed therebetween. In the present embodiments, the second SRAM cell includes a third PD device disposed over a fifth fin, where a portion of the fifth fin configured to provide a source terminal of the third PD device includes a second protruding portion, and the first protruding portion of the first fin or the first protruding portion of the second fin extends to merge with the second protruding portion of the fifth fin. Still further, in the present embodiments, the IC structure includes a source feature disposed on each of the first and the second protruding portions.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
This is a continuation application of U.S. patent application Ser. No. 17/399,748, filed on Aug. 11, 2021, which is herein incorporated by reference in its entirety.
Number | Date | Country | |
---|---|---|---|
Parent | 17399748 | Aug 2021 | US |
Child | 18781344 | US |