ONIUM SALT, CHEMICALLY AMPLIFIED RESIST COMPOSITION, AND PATTERNING PROCESS

Abstract
An onium salt having formula (1) is provided. A chemically amplified resist composition comprising the onium salt as a PAG has advantages including high solvent solubility, high sensitivity, a high contrast, and improved lithography properties such as EL and LWR when processed by photolithography using high-energy radiation.
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2022-165213 filed in Japan on Oct. 14, 2022, the entire contents of which are hereby incorporated by reference.


TECHNICAL FIELD

This invention relates to an onium salt, a chemically amplified resist composition, and a pattern forming process.


BACKGROUND ART

While a number of recent efforts are being made to achieve a finer pattern rule in the drive for higher integration and operating speeds in LSIs, DUV and EUV lithography processes are thought to hold particular promise as the next generation in microfabrication technology. In particular, photolithography using an ArF excimer laser is requisite to the micropatterning technique capable of achieving a feature size of 0.13 μm or less.


The ArF lithography started partial use from the fabrication of 130-nm node devices and became the main lithography since 90-nm node devices. Although lithography using F2 laser (wavelength 157 nm) was initially thought promising as the next lithography for 45-nm node devices, its development was retarded by several problems. A highlight was suddenly placed on the ArF immersion lithography that introduces a liquid having a higher refractive index than air (e.g., water, ethylene glycol, glycerol) between the projection lens and the wafer, allowing the projection lens to be designed to a numerical aperture (NA) of 1.0 or higher and achieving a higher resolution. See Non-Patent Document 1. The ArF immersion lithography is now implemented on the commercial stage. The immersion lithography requires a resist material which is substantially insoluble in water.


In the photolithography using an ArF excimer laser (wavelength 193 nm), a high sensitivity resist material capable of achieving a high resolution at a small dose of exposure is needed to prevent the degradation of precise and expensive optical system materials. Among several measures for providing high sensitivity resist material, the most common is to select each component which is highly transparent at the wavelength of 193 nm. For example, polymers of acrylic acid and derivatives thereof, norbornene-maleic anhydride alternating copolymers, polynorbornene, ring-opening metathesis polymerization (ROMP) polymers, and hydrogenated ROMP polymers have been proposed as the base resin. This choice is effective to some extent in that the transparency of a resin alone is increased.


Recently a highlight is put on the negative tone resist adapted for organic solvent development as well as the positive tone resist adapted for alkaline development. It would be desirable if a very fine hole pattern, which is not achievable with the positive tone, is resolvable through negative tone exposure. To this end, a positive resist material featuring a high resolution is subjected to organic solvent development to form a negative pattern. An attempt to double a resolution by combining two developments, alkali development and organic solvent development is under study. As the ArF resist material for negative tone development with organic solvent, positive ArF resist compositions of the prior art design may be used. Such pattern forming processes are described in Patent Documents 1 to 3.


To meet the current rapid progress of microfabrication technology, development efforts are put on not only the process, but also the resist material. Studies have also been made on photoacid generators (PAGs). Commonly used are sulfonium salts of triphenylsulfonium cations with perfluoroalkanesulfonic acid anions. These salts generate perfluoroalkanesulfonic acids, especially perfluorooctanesulfonic acid (PFOS), which are considered problematic with respect to their non-degradability, biological concentration and toxicity. It is rather restricted to apply these salts to the resist material. Instead, PAGs capable of generating perfluorobutanesulfonic acid are currently used. However, these PAGs are awkward to achieve a high resolution because of substantial diffusion of the generated acid in the resist material. To address the problem, partially fluorinated alkane sulfonic acids and salts thereof are developed. For instance, Patent Document 1 describes the prior art PAGs capable of generating α,α-difluoroalkanesulfonic acid, such as di(4-tert-butylphenyl)iodonium 1,1-difluoro-2-(1-naphthyl)ethanesulfonate and PAGs capable of generating α,α,β,β-tetrafluoroalkanesulfonic acid. Despite a reduced degree of fluorine substitution, these PAGs still have the following problems. Since they do not have a decomposable substituent group such as ester structure, they are unsatisfactory from the aspect of environmental safety or ease of decomposition. The molecular design to change the size of alkanesulfonic acid is limited. Fluorine-containing starting reactants are expensive.


As the circuit line width is reduced, the degradation of contrast by acid diffusion becomes more serious for the resist material. The reason is that the pattern feature size is approaching the diffusion length of acid. This invites a lowering of mask fidelity and a degradation of pattern rectangularity because a dimensional shift on wafer (known as mask error factor (MEF)) relative to a dimensional shift on mask is exaggerated. Accordingly, to gain more benefits from a reduction of exposure light wavelength and an increase of lens NA, the resist material is required to increase a dissolution contrast or restrain acid diffusion, as compared with the prior art materials. One approach is to lower the bake temperature for suppressing acid diffusion and hence, improving MEF. A low bake temperature, however, inevitably leads to a low sensitivity.


Incorporating a bulky substituent or polar group into PAG is effective for suppressing acid diffusion. Patent Document 4 discloses a PAG capable of generating 2-acyloxy-1,1,3,3,3-pentafluoropropane-1-sulfonic acid which is fully soluble and stable in solvents and allows for a wide span of molecular design. In particular, a PAG having a bulky substituent incorporated therein or capable of generating 2-(1-adamantyloxy)-1,1,3,3,3-pentafluoropropane-1-sulfonic acid is characterized by slow acid diffusion. Patent Documents 5 to 7 describe PAGs having fused ring lactone, sultone or thiolactone incorporated as the polar group. Although some improvement in performance is observed due to the acid diffusion suppressing effect of the polar group incorporated, they are still insufficient in precise control of acid diffusion. Their lithography performance is unsatisfactory when evaluated totally in terms of MEF, pattern profile and sensitivity.


Incorporating a polar group into an anion of PAG is effective for suppressing acid diffusion, but disadvantageous from the standpoint of solvent solubility. Attempting to improve solvent solubility, Patent Documents 8 and 9 propose to incorporate an alicyclic group into a cation moiety of a PAG. Specifically, a cyclohexane ring or adamantane ring is incorporated. While incorporating such an alicyclic group achieves an improvement in solubility, a relatively large number of carbon atoms is necessary to insure a satisfactory solubility. This means that the molecular structure of PAG becomes bulky, causing to degrade lithography performance factors such as LWR and CDU in forming small-size patterns.


Iodine atoms absorb a very large amount of EUV having a wavelength 13.5 nm, and have been confirmed to be capable of generating secondary electrons during exposure, and attracted attention in the EUV lithography field. Patent Document 10 discloses a photoacid generator having iodine atoms in the anion. Iodine atoms have been confirmed to improve lithographic performance to some extent, but do not have high organic solvent solubility, and may be precipitated in the solvent.


With the aim to enhance dissolution contrast, it is also in practice to incorporate an acid labile group into an anion or cation of PAG as disclosed in Patent Documents 11 and 12. Many of these PAGs have the structure having a carboxy group protected with an acid labile group. Upon light exposure, elimination reaction of the acid labile group takes place under the catalysis of generated acid. Since the resulting polar group is a carboxy group, the resist film is swollen with the developer during alkaline development and pattern collapse occurs in forming small-size patterns. To meet the demand for further miniaturization, it is crucial to develop a novel PAG. There is the desire to have a PAG capable of fully suppressing acid diffusion, achieving high solvent solubility, and restraining pattern collapse.


CITATION LIST





    • Patent Document 1: JP-A 2008-281974

    • Patent Document 2: JP-A 2008-281975

    • Patent Document 3: JP No. 4554665

    • Patent Document 4: JP-A 2007-145797

    • Patent Document 5: JP No. 5061484

    • Patent Document 6: JP-A 2016-147879

    • Patent Document 7: JP-A 2015-63472

    • Patent Document 8: JP No. 5573098

    • Patent Document 9: JP No. 6461919

    • Patent Document 10: JP No. 6720926

    • Patent Document 11: JP No. 5544078

    • Patent Document 12: JP No. 5609569

    • Non-Patent Document 1: Journal of Photopolymer Science and Technology, Vol. 17, No. 4, p. 587-601 (2004)





SUMMARY OF THE INVENTION

While it is recently demanded to form resist patterns at a high resolution, a resist composition using a conventional PAG of sulfonium salt type fails to fully suppress acid diffusion. As a result, lithography properties such as contrast, MEF and LWR are degraded. Also, a problem of pattern collapse arises due to swell in forming a small-size pattern.


An object of the invention is to provide an onium salt and a chemically amplified resist composition comprising the same as a photoacid generator, the resist composition having a high solvent solubility and a high sensitivity and being improved in lithography properties such as EL and LWR when processed by photolithography using high-energy radiation such as KrF or ArF excimer laser, EB or EUV; and a pattern forming process using the resist composition.


The inventors have found that an onium salt of specific structure has a high solvent solubility and that a chemically amplified resist composition comprising the onium salt as a photoacid generator exhibits a high sensitivity, high contrast, and improved lithography properties such as EL and LWR, and minimizes the risk of pattern collapse during small-size pattern formation.


Specifically, the invention provides the following onium salt, chemically amplified resist composition and pattern forming process.


In one aspect, the invention provides an onium salt having the formula (1).




embedded image




    • Herein n1 is 0 or 1, n2 is an integer of 1 to 3, n3 is an integer of 1 to 4, n4 is an integer of 0 to 4, meeting n2+n3+n4 s 5 in case of n1=0 and n2+n3+n4≤7 in case of n1=1, n5 is an integer of 0 to 4,

    • RAL forms an acid labile group with the adjoining oxygen atom.

    • I and —O—RAL are attached to adjoining carbon atoms,

    • R1 is a C1-C20 hydrocarbyl group which may contain a heteroatom,

    • LA and LB are each independently a single bond, ether bond, ester bond, sulfonic ester bond, carbonate bond or carbamate bond,

    • XL is a single bond or a C1-C40 hydrocarbylene group which may contain a heteroatom,

    • Q1 and Q2 are each independently hydrogen, fluorine or a C1-C6 fluorinated saturated hydrocarbyl group,

    • Q3 and Q4 are each independently fluorine or a C1-C6 fluorinated saturated hydrocarbyl group, and

    • Z+ is an onium cation.





In one preferred embodiment, RAL is a group having the formula (AL-1) or (AL-2).




embedded image




    • Herein R2, R3 and R4 are each independently a C1-C12 hydrocarbyl group in which some —CH2— may be replaced by —O— or —S—, with the proviso that when the hydrocarbyl group contains an aromatic ring, some or all of the hydrogen atoms on the aromatic ring may be substituted by halogen, cyano, nitro, optionally halogenated C1-C4 alkyl moiety or optionally halogenated C1-C4 alkoxy moiety, and R2 and R3 may bond together to form a ring with the carbon atom to which they are attached, some —CH2— in the ring may be replaced by —O— or —S—.

    • R5 and R6 are each independently hydrogen or a C1-C10 hydrocarbyl group, R7 is a C1-C20 hydrocarbyl group in which some —CH2— may be replaced by —O— or —S—, and R6 and R7 may bond together to form a C3-C20 heterocyclic group with the carbon atom and LC to which they are attached, some —CH2— in the heterocyclic group may be replaced by —O— or —S—,

    • LC is —O— or —S—,

    • m1 is 0 or 1, m2 is 0 or 1, and

    • * designates a point of attachment to the adjoining —O—.





Preferably, the onium salt has the formula (1A):




embedded image




    • wherein RAL, R1, LA, LB, XL, Q1, Q2, n1 to n5 and Z+ are as defined above.





More preferably, the onium salt has the formula (1B):




embedded image




    • wherein RAL, R1, LA, XL, Q1, Q2, n1 to n5 and Z+ are as defined above.





In one preferred embodiment, Z+ is an onium cation having the formula (cation-1) or (cation-2).




embedded image




    • Herein Rct1 to Rct5 are each independently a C1-C30 hydrocarbyl group which may contain a heteroatom, and Rct1 and Rct2 may bond together to form a ring with the sulfur atom to which they are attached.





The invention also provides a photoacid generator comprising the onium salt defined herein.


A chemically amplified resist composition comprising the photoacid generator is also contemplated herein.


Often, the chemically amplified resist composition further comprises a base polymer comprising repeat units having the formula (a1).




embedded image




    • Herein RA is hydrogen, fluorine, methyl or trifluoromethyl,

    • X1 is a single bond, phenylene group, naphthylene group or *—C(═O)—O—X11—, the phenylene or naphthylene group may be substituted with an optionally fluorinated C1-C10 alkoxy moiety or halogen, X11 is a C1-C10 saturated hydrocarbylene group which may contain a hydroxy moiety, ether bond, ester bond or lactone ring, or phenylene or naphthylene group, * designates a point of attachment to the carbon atom in the backbone, and

    • AL1 is an acid labile group.





In a preferred embodiment, the base polymer further comprises repeat units having the formula (a2).




embedded image




    • Herein RA is hydrogen, fluorine, methyl or trifluoromethyl,

    • X2 is a single bond or *—C(═O)—O—, * designates a point of attachment to the carbon atom in the backbone,

    • R11 is halogen, cyano, a C1-C20 hydrocarbyl group which may contain a heteroatom, a C1-C20 hydrocarbyloxy group which may contain a heteroatom, a C2-C20 hydrocarbylcarbonyl group which may contain a heteroatom, a C2-C20 hydrocarbylcarbonyloxy group which may contain a heteroatom, or a C2-C20 hydrocarbyloxycarbonyl group which may contain a heteroatom,

    • AL2 is an acid labile group, and

    • a is an integer of 0 to 4.





In a more preferred embodiment, the base polymer further comprises repeat units having the formula (b1) or (b2).




embedded image




    • Herein RA is each independently hydrogen, fluorine, methyl or trifluoromethyl,

    • Y1 is a single bond or *—C(═O)—O—, * designates a point of attachment to the carbon atom in the backbone,

    • R21 is hydrogen or a C1-C20 group containing at least one structure selected from the group consisting of hydroxy other than phenolic hydroxy, cyano, carbonyl, carboxy, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring and carboxylic anhydride (—C(═O)—O—C(═O)—),

    • R22 is halogen, hydroxy, nitro, a C1-C20 hydrocarbyl group which may contain a heteroatom, a C1-C20 hydrocarbyloxy group which may contain a heteroatom, a C2-C20 hydrocarbylcarbonyl group which may contain a heteroatom, a C2-C20 hydrocarbylcarbonyloxy group which may contain a heteroatom, or a C2-C20 hydrocarbyloxycarbonyl group which may contain a heteroatom,

    • b is an integer of 1 to 4, c is an integer of 0 to 4, and b+c is from 1 to 5.





In a preferred embodiment, the base polymer further comprises repeat units of at least one type selected from repeat units having the formulae (c1) to (c4).




embedded image




    • Herein RA is each independently hydrogen, fluorine, methyl or trifluoromethyl,

    • Z1 is a single bond or phenylene group,

    • Z2 is *—C(═O)—O—Z21—, *—C(═O)—NH—Z1—, or *—O—Z21—, Z21 is a C1-C6 aliphatic hydrocarbylene group, phenylene group or a divalent group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety,

    • Z3 is a single bond, phenylene, naphthylene, or *—C(═O)—O—Z31—, Z31 is a C1-C10 aliphatic hydrocarbylene group which may contain a hydroxy moiety, ether bond, ester bond or lactone ring, or phenylene or naphthylene group.

    • Z4 is a single bond or *—Z41—C(═O)—O—, Z41 is a C1-C20 hydrocarbylene group which may contain a heteroatom,

    • Z5 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, trifluoromethyl-substituted phenylene, *—C(═O)—O—Z51—, *—C(═O)—N(H)—Z51—, or *—O—Z51—, Z51 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, fluorinated phenylene group or trifluoromethyl-substituted phenylene group, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety,

    • * designates a point of attachment to the carbon atom in the backbone,

    • R31 and R32 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom, R31 and R32 may bond together to form a ring with the sulfur atom to which they are attached,

    • L1 is a single bond, ether bond, ester bond, carbonyl group, sulfonic ester bond, carbonate bond or carbamate bond,

    • Rf1 and Rf2 are each independently fluorine or a C1-C6 fluorinated saturated hydrocarbyl group,

    • Rf3 and Rf4 are each independently hydrogen, fluorine, or a C1-C6 fluorinated saturated hydrocarbyl group,

    • Rf5 and Rf6 are each independently hydrogen, fluorine, or a C1-C6 fluorinated saturated hydrocarbyl group, excluding that all Rf5 and Rf6 are hydrogen at the same time,

    • M is a non-nucleophilic counter ion,

    • A+ is an onium cation, and

    • d is an integer of 0 to 3.





The chemically amplified resist composition may further comprise an organic solvent, a quencher, a photoacid generator other than the photoacid generator defined herein, and/or a surfactant.


In a further aspect, the invention provides a pattern forming process comprising the steps of applying the chemically amplified resist composition defined herein onto a substrate to form a resist film thereon, exposing the resist film to high-energy radiation, and developing the exposed resist film in a developer.


Typically, the high-energy radiation is KrF excimer laser radiation, ArF excimer laser radiation, EB or EUV of wavelength 3 to 15 nm.


Advantageous Effects of Invention

When the chemically amplified resist composition comprising the onium salt as a photoacid generator is processed by lithography, patterns having a high sensitivity, high contrast, improved properties including MEF and LWR can be formed. The risk of pattern collapse is restrained.







DETAILED DESCRIPTION OF THE INVENTION

Onium Salt


The invention provides an onium salt having the formula (1).




embedded image


In formula (1), n1 is 0 or 1. The relevant structure is a benzene ring in case of n1=0, and a naphthalene ring in case of n1=1. Of these, a benzene ring corresponding to n1=0 is preferred from the standpoint of solvent solubility. The subscript n2 is an integer of 1 to 3. It is preferred from the standpoint of availability of reactants that n2 be 1 or 2, most preferably 1. The subscript n3 is an integer of 1 to 4. It is preferred from the standpoint of availability of reactants that n3 be 1 or 2, most preferably 1. The subscript n4 is an integer of 0 to 4. These subscripts meet n2+n3+n4≤5 in case of n1=0 and n2+n3+n4≤7 in case of n1=1. The subscript n5 is an integer of 0 to 4, preferably an integer of 0 to 3, most preferably 1.


In formula (1). RAL forms an acid labile group with the adjoining oxygen atom. The preferred acid labile group has the formula (AL-1) or (AL-2).




embedded image


In formula (AL-1), R2, R3 and R4 are each independently a C1-C12 hydrocarbyl group. In the hydrocarbyl group, some —CH2— may be replaced by —O— or —S—. When the hydrocarbyl group contains an aromatic ring, some or all of the hydrogen atoms on the aromatic ring may be substituted by halogen, cyano, nitro, optionally halogenated C1-C4 alkyl moiety or optionally halogenated C1-C4 alkoxy moiety. The subscript m1 is 0 or 1, and * designates a point of attachment to the adjoining —O—.


The C1-C12 hydrocarbyl group represented by R2, R3 and R4 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C12 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, 2-ethylhexyl, n-nonyl, n-decyl, n-undecyl, n-dodecyl; C3-C12 cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, cycloheptylmethyl, cyclopentylethyl, cycloheptylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, norbornylmethyl, adamantyl, adamantylmethyl, tricyclo[5.2.1.02,6]decyl, tetracyclo[6.2.1.13,602,7]dodecyl; C2-C12 alkenyl groups such as vinyl, allyl, propenyl, butenyl, pentenyl, hexenyl; C2-C12 alkynyl groups such as ethynyl, propynyl, butynyl, pentynyl, hexynyl; C3-C12 cyclic unsaturated aliphatic hydrocarbyl groups such as cyclopentenyl and cyclohexenyl; C6-C12 aryl groups such as phenyl, naphthyl and indanyl; C7-C12 aralkyl groups such as benzyl, 1-phenylethyl, 2-phenylethyl, and combinations thereof.


Also, R2 and R3 may bond together to form a ring with the carbon atom to which they are attached. Some —CH2— in the ring may be replaced by —O— or —S—. Exemplary rings include cyclopropane, cyclobutane, cyclopentane, cyclohexane, cycloheptane, cyclooctane, norbornane, adamantane, tricyclo[5.2.1.02,6-]decane, and tetracyclo[6.2.1.13,602,7]dodecane rings. Some —CH2— in the ring may be replaced by —O— or —S—.


In formula (AL-2), R5 and R6 are each independently hydrogen or a C1-C10 hydrocarbyl group. The C1-C10 hydrocarbyl group represented by R5 and R6 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof as exemplified for C1-C12 hydrocarbyl groups R2, R3 and R4 except that the number of carbon atoms is 1 to 10.


In formula (AL-2), R7 is a C1-C20 hydrocarbyl group in which some —CH2— may be replaced by —O— or —S—. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C20 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, undecyl, dodecyl, tridecyl, tetradecyl, pentadecyl, heptadecyl, octadecyl, nonadecyl, icosyl; C3-C20 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbomyl, norbornylmethyl, adamantyl, adamantylmethyl, tricyclo[5.2.1.02,6]decyl, tetracyclo[6.2.1.13,602,7]dodecyl; C2-C20 alkenyl groups such as vinyl, propenyl, butenyl, pentenyl, hexenyl; C2-C20 alkynyl groups such as ethynyl, propynyl, butynyl, pentynyl, hexynyl; C3-C20 cyclic unsaturated aliphatic hydrocarbyl groups such as cyclopentenyl, cyclohexenyl, norbomenyl; C6-C20 aryl groups such as phenyl, methylphenyl, ethylphenyl, n-propylphenyl, isopropylphenyl, n-butylphenyl, isobutylphenyl, sec-butylphenyl, tert butylphenyl, naphthyl, methylnaphthyl, ethylnaphthyl, n-propytlnaphthyl, isopropylnaphthyl, n-butylnaphthyl, isobutylnaphthyl, sec-butylnaphthyl, tert-butylnaphthyl; C7-C20 aralkyl groups such as benzyl, phenethyl, and combinations thereof. Also, R6 and R7 may bond together to form a C3-C20 heterocyclic group with the carbon atom and LC to which they are attached. Some —CH2— in the heterocyclic group may be replaced by —O— or —S—.


In formula (AL-2), LC is —O— or —S—.


In formula (AL-2), m2 is 0 or 1 and * designates a point of attachment to the adjoining —O—.


Examples of the acid labile group having formula (AL-1) are shown below, but not limited thereto. The asterisk (*) designates a point of attachment to the adjoining —O—.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the acid labile group having formula (AL-2) are shown below, but not limited thereto. The asterisk (*) designates a point of attachment to the adjoining —O—.




embedded image


embedded image


embedded image


In formula (1), I and —O—RAL are attached to adjoining carbon atoms. Their adjacent arrangement leads to an improvement in the acidity of an aromatic alcohol resulting from elimination of —RAL, and hence, an improvement in dissolution contrast.


In formula (1), R1 is a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C20 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, undecyl, dodecyl, tridecyl, tetradecyl, pentadecyl, heptadecyl, octadecyl, nonadecyl, icosyl; C3-C20 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, adamantyl; C2-C20 alkenyl groups such as vinyl, allyl, propenyl, butenyl, hexenyl; C3-C20 cyclic unsaturated hydrocarbyl groups such as cyclohexenyl; C2-C20 aryl groups such as phenyl, naphthyl; C7-C20 aralkyl groups such as benzyl, 1-phenylethyl, 2-phenylethyl, and combinations thereof. Inter alia, aryl groups are preferred. In the hydrocarbyl group, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, cyano, fluorine, chlorine, bromine, iodine, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.


In formula (1), LA and LB are each independently a single bond, ether bond, ester bond, sulfonic ester bond, carbonate bond or carbamate bond, preferably a single bond, ether bond or ester bond.


In formula (1), XL is a single bond or a C1-C40 hydrocarbylene group which may contain a heteroatom. The hydrocarbylene group may be straight, branched or cyclic and examples thereof include alkanediyl and cyclic saturated hydrocarbylene groups. Suitable heteroatoms include oxygen, nitrogen and sulfur.


Examples of the optionally heteroatom-containing C1-C40 hydrocarbylene group XL are shown below. The asterisk (*) designates a point of attachment to LA or LB.




embedded image


embedded image


embedded image


embedded image


embedded image


Of these, XL-0 to XL-3, XL-29 to XL-34, XL-47 to XL-49 are preferred, with XL-0 to XL-2, XL-29 and XL-47 being more preferred.


In formula (1). Q1 and Q2 are each independently hydrogen, fluorine or a C1-C6 fluorinated saturated hydrocarbyl group. Typical of the C1-C6 fluorinated saturated hydrocarbyl group is trifluoromethyl.


In formula (1), Q3 and Q4 are each independently, fluorine or a C1-C6 fluorinated saturated hydrocarbyl group. Typical of the C1-C6 fluorinated saturated hydrocarbyl group is trifluoromethyl.


Preferred examples of the partial structure: —[C(Q1)(Q2)]n5-C(Q3)(Q4)-SO3 in formula (1) are shown below, but not limited thereto. The asterisk (*) designates a point of attachment to LB.




embedded image


Of these, Acid-1 to Acid-7 are preferred, with Acid-1 to Acid-3, Acid-6 and Acid-7 being more preferred.


Of the onium salts having formula (1), those having the formula (1A) are preferred.




embedded image




    • wherein RAL, R1, LA, LB, XL, Q1, Q2, n1 to n5 and Z+ are as defined above.





Of the onium salts having formula (1A), those having the formula 1B are referred.




embedded image




    • wherein RAL, R1, LA, XL, Q1, Q2, n1 to n5 and Z+ are as defined above.





Examples of the anion in the onium salt having formula (1) are shown below, but not limited thereto. The position of a substituent on the aromatic ring is not limited to the illustrated one as long as I and —O—RAL are arranged adjacent. Herein Q1 is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In formula (1). Z+ is an onium cation having the formula (cation-1) or (cation-2).




embedded image


In formulae (cation-1) and (cation-2), Rct1 to Rct5 are each independently a C1-C30 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl; cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropyhnethyl, 4-methylcyclohexyl, cyclohexyhnethyl, norbornyl, adamantyl; alkenyl groups such as vinyl, allyl, propenyl, butenyl, hexenyl; cyclic unsaturated hydrocarbyl groups such as cyclohexenyl; aryl groups such as phenyl, naphthyl, thienyl; aralkyl groups such as benzyl, 1-phenylethyl, 2-phenylethyl, and combinations thereof. Inter alia, the aryl groups are preferred. In the hydrocarbyl groups, some hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and a moiety containing a heteroatom such as oxygen, sulfur or nitrogen may intervene between carbon atoms, so that the group may contain a hydroxy, cyano, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.


Also, Rct1 and Rct2 may bond together to form a ring with the sulfur atom to which they are attached. Examples of the sulfonium cation having formula (cation-1) in this embodiment are shown below.




embedded image


The broken line designates a point of attachment to Rct3.


Examples of the sulfonium cation having formula (cation-1) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the iodonium cation having formula (cation-2) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


Examples of the onium salt include arbitrary combinations of anions with cations, both as exemplified above.


The onium salt having formula (1) can be synthesized by well-known methods. For example, a method of preparing an onium salt having formula (PAG-1-ex) is described.




embedded image




    • Herein RAL, R1, Q1 to Q4, n1 to n5, and Z+ are as defined above, M+ is a counter cation, and X is a counter anion.





The first step is to produce Intermediate In-1 by using a base to hydrolyze a nitrile group in reactant SM-1, which is commercially available or can be synthesized by any well-known synthesis method. The reaction may be performed by any well-known organic synthesis method. Specifically, reactant SM-1 is suspended in water or an ether-based solvent such as tetrahydrofuran (THF), and a base is added thereto to perform the hydrolysis. Typical of the base used is a hydroxide of alkali metal such as sodium hydroxide or potassium hydroxide. The reaction temperature ranges from room temperature to near the boiling point of the solvent. It is preferred to use heating conditions for facilitating the reaction. The reaction time is determined as appropriate by monitoring the reaction process by silica gel thin-layer chromatography (TLC) because it is desirable from the yield aspect to drive the reaction to completion. Usually the reaction time is about 4 to 12 hours. Thereafter, the reaction is quenched with dilute hydrochloric acid or the like, and at the time of reaching acidic pH, the desired compound is extracted from the reaction mixture. Through ordinary aqueous work-up. Intermediate In-1 is recovered. The resulting Intermediate In-1 may be purified by a standard technique such as chromatography or re-crystallization if necessary.


The second step is to react Intermediate In-1 with reactant SM-2 to form Intermediate In-2. Any condensing agents may be used when an ester bond is formed directly from the carboxy group in Intermediate In-1 and the hydroxy group in reactant SM-2. Suitable condensing agents include N,N′-dicyclohexylcarbodiimide, N,N′-diisopropylcarbodiimide, 1-[3-(dimethylamino)propyl]-3-ethylcarbodiimide, and 1-ethyl-3-(3-dimethylaminopropyl)carbodiimide hydrochloride. From the aspect of easy removal of a urea compound formed as the by-product after the reaction, it is preferred to use 1-ethyl-3-(3-dimethylaminopropyl)carbodiimide hydrochloride. The reaction is performed by dissolving Intermediate In-1 and reactant SM-2 in a halide solvent such as methylene chloride, and adding a condensing agent thereto. The reaction rate may be accelerated by adding 4-dimethylaminopyridine (DMAP) as a catalyst. The reaction time is determined as appropriate by monitoring the reaction process by TLC because it is desirable from the yield aspect to drive the reaction to completion. Usually the reaction time is about 12 to 24 hours. After the reaction is stopped, the by-product, urea compound is removed by filtration or water washing if necessary. The reaction solution is subjected to ordinary aqueous work-up, obtaining Intermediate In-2. The resulting Intermediate In-2 may be purified by a standard technique such as chromatography or re-crystallization if necessary.


The third step is a salt exchange between the resulting Intermediate In-2 and an onium salt (reactant SM-3): Z+X to form the desired onium salt (PAG-1-ex). It is preferred that X be a chloride ion, bromide ion, iodide ion or methylsulfate anion, which allows the exchange reaction to run in a quantitative manner. It is preferred from the yield aspect to monitor the progress of reaction by TLC. By ordinary aqueous work-up, the onium salt (PAG-1-ex) is recovered from the reaction mixture. The onium salt (PAG-1-ex) may be purified by a standard technique such as chromatography or re-crystallization if necessary.


In the above reaction scheme, the ion exchange in the third step may be readily performed by any well-known technique, for example, with reference to JP-A 2007-145797.


The above-mentioned preparation method is merely exemplary and the method of preparing the inventive onium salt is not limited thereto.


The inventive onium salt has a characteristic structure that the anion possesses an acid labile group attached to a hydroxy group on the aromatic ring and an iodine atom, which are attached to the adjoining carbon atoms. By introducing a tertiary alkyl or acetal structure as an acid labile group, the lipid solubility is improved to attain sufficient organic solvent solubility although an iodine atom is present. For this reason, the inventive onium salt is not likely to precipitate in a solvent. In the exposed region, the acid labile group undergoes deprotection reaction under the catalysis of the generated acid to generate an aromatic hydroxy group. This acts to enhance the contrast between exposed and unexposed regions. The adjoining iodine atom is characterized by reducing acid diffusion when incorporated in the anion because the iodine atom has a large molecular weight. Further, an iodine atom absorbs a very large amount of EUV having a wavelength 13.5 nm, and generate secondary electrons during exposure, so that the sensitivity is increased. Since an iodine atom also has an electron attracting effect, adjacent arrangement of I and —O—RAL leads to an improvement in the acidity of phenol generated resulting from elimination of an acid labile group from —O—RAL, and hence, an improvement in solubility in an alkaline developer. When the resist film after exposure is developed in an alkaline developer, the exposed region is effectively removed by the alkaline developer due to the increased affinity of the aromatic hydroxy group to the alkaline developer. Since phenol has a lower affinity for an alkaline developer as compared to a carboxy group, it is possible to suppress swell in the alkaline developer. This suppresses pattern collapse in forming a small-size pattern. By virtue of the synergy of these effects, the positive resist composition comprising the inventive onium salt can form a resist pattern having a high dissolution contrast and improved LWR of line patterns or improved CDU of hole patterns. The pattern is fully resistant to collapse.


The onium salt is advantageously used as PAG.


Chemically Amplified Resist Composition


(A) Photoacid Generator


Another embodiment of the invention is a chemically amplified resist composition essentially comprising (A) the onium salt having formula (1) as a photoacid generator.


In the chemically amplified resist composition, the amount of the PAG in the form of the onium salt having formula (1) as component (A) is preferably 0.1 to 40 parts by weight, more preferably 0.5 to 30 parts by weight per 80 parts by weight of a base polymer to be described just below. As long as the amount of component (A) is in the range, good sensitivity and resolution are achievable and the risk of foreign matter being formed after development or during stripping of resist film is avoided. The PAG may be used alone or in admixture as component (A).


(B) Base Polymer


The chemically amplified resist composition may comprise a base polymer as component (B). The base polymer (B) preferably contains repeat units having the formula (a1), which are also referred to as repeat units (a1).




embedded image


In formula (a1), RA is hydrogen, fluorine, methyl or trifluoromethyl.


In formula (a1), X1 is a single bond, phenylene group, naphthylene group or *—C(═O)—O—X11—. The phenylene or naphthylene group may be substituted with an optionally fluorinated C1-C10 alkoxy moiety or halogen. X11 is a C1-C10 saturated hydrocarbylene group, phenylene or naphthylene group, and the saturated hydrocarbylene group may contain a hydroxy moiety, ether bond, ester bond or lactone ring. The asterisk (*) designates a point of attachment to a carbon atom in the main chain.


In formula (a1), AL1 is an acid labile group. Examples of the acid labile group include those described in JP-A 2013-080033 and JP-A 2013-083821.


Typical of the acid labile group are groups of the following formulae (AL-3) to (AL-5).




embedded image




    • Herein, the broken line designates a valence bond.





In formulae (AL-3) and (AL-4), RL1 and RL2 are each independently a C1-C40 saturated hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. The saturated hydrocarbyl group may be straight, branched or cyclic. Inter alia, C1-C20 saturated hydrocarbyl groups are preferred.


In formula (AL-3), k is an integer of 0 to 10, preferably 1 to 5.


In formula (AL-4), RL3 and RL4 are each independently hydrogen or a C1-C20 saturated hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. The hydrocarbyl group may be straight, branched or cyclic. Any two of RL2, RL3 and RL4 may bond together to form a C3-C20 ring with the carbon atom or carbon and oxygen atoms to which they are attached. The ring preferably contains 4 to 16 carbon atoms and is typically alicyclic.


In formula (AL-5), RL5, RL6 and RL7 are each independently a C1-C20 saturated hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. The hydrocarbyl group may be straight, branched or cyclic. Any two of RL5, RL6 and RL7 may bond together to form a C3-C20 ring with the carbon atom to which they are attached. The ring preferably contains 4 to 16 carbon atoms and is typically alicyclic.


Examples of repeat unit (a1) are shown below, but not limited thereto. Herein RA and AL1 are as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The base polymer (B) may further contain repeat units having the formula (a2), which are also referred to as repeat units (a2).




embedded image


In formula (a2), RA is hydrogen, fluorine, methyl or trifluoromethyl. X2 is a single bond or *—C(═O)—O—. The asterisk (*) designates a point of attachment to a carbon atom in the main chain. R21 is halogen, cyano, a C1-C20 hydrocarbyl group which may contain a heteroatom, a C1-C20 hydrocarbyloxy group which may contain a heteroatom, a C2-C20 hydrocarbylcarbonyl group which may contain a heteroatom, a C2-C20 hydrocarbylcarbonyloxy group which may contain a heteroatom, or a C2-C20 hydrocarbyloxycarbonyl group which may contain a heteroatom. The subscript “a” is an integer of 0 to 4, preferably 0 or 1. AL2 is an acid labile group, examples of which are as exemplified for the acid labile group AL1.


Examples of repeat unit (a2) are shown below, but not limited thereto. Herein RA and AL2 are as defined above.




embedded image


embedded image


embedded image


embedded image


In a preferred embodiment, the base polymer further comprises repeat units having the formula (b1) or repeat units having the formula (b2), which are simply referred to as repeat units (b1) or (b2).




embedded image


In formulae (b1) and (b2), RA is each independently hydrogen, fluorine, methyl or trifluoromethyl. Y1 is a single bond or *—C(═O)—O—. The asterisk (*) designates a point of attachment to a carbon atom in the main chain. R21 is hydrogen or a C1-C20 group containing at least one structure selected from among hydroxy other than phenolic hydroxy, cyano, carbonyl, carboxy, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring and carboxylic anhydride (—C(═O)—O—C(═O)—). R22 is halogen, hydroxy, nitro, a C1-C20 hydrocarbyl group which may contain a heteroatom, a C1-C20 hydrocarbyloxy group which may contain a heteroatom, a C2-C20 hydrocarbylcarbonyl group which may contain a heteroatom, a C2-C20 hydrocarbylcarbonyloxy group which may contain a heteroatom, or a C2-C20 hydrocarbyloxycarbonyl group which may contain a heteroatom. The subscript b is an integer of 1 to 4, c is an integer of 0 to 4, and b+c is from 1 to 5.


Examples of the repeat unit (b1) are shown below, but not limited thereto. Herein, RA is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the repeat unit (b2) are shown below, but not limited thereto. Herein, RA is as defined above.




text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


Of the repeat units (b1) and (b2), those units having a lactone ring as the polar group are preferred in the ArF lithography and those units having a phenolic site are preferred in the KrF, EB and EUV lithography.


The base polymer may further comprise repeat units of at least one type selected from repeat units having the formulae (c1) to (c4), which are simply referred to as repeat units (c1) to (c4).




embedded image


In formulae (c1) to (c4), RA is each independently hydrogen, fluorine, methyl or trifluoromethyl. Z1 is a single bond or phenylene group. Z2 is *—C(═O)—O—Z21—, *—C(═O) NH—Z21— or *—O—Z21—. Z21 is a C1-C6 aliphatic hydrocarbylene group, a phenylene group or a divalent group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety. Z3 is a single bond, phenylene group, naphthylene group or *—C(═O)—O—Z31—. Z31 is a C1-C10 aliphatic hydrocarbylene group which may contain a hydroxy moiety, ether bond, ester bond or lactone ring, or phenylene or naphthylene group. Z4 is a single bond or *—Z41—C(═O)—O—. Z41 is a C1-C20 hydrocarbylene group which may contain a heteroatom. Z5 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, trifluoromethyl-substituted phenylene group. *—C(═O)—O—Z51—, *—C(═O)—N(H) Z51—, or *—O—Z51—. Z51 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, fluorinated phenylene group or trifluoromethyl-substituted phenylene group, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety. The asterisk (*) designates a point of attachment to a carbon atom in the main chain.


The aliphatic hydrocarbylene group represented by Z21, Z31 and Z51 may be straight, branched or cyclic. Examples thereof include alkanediyl groups such as methanediyl, ethane-1,1-diyl, ethane-1,2-diyl, propane-1,1-diyl, propane-1,2-diyl, propane-1,3-diyl, propane-2,2-diyl, butane-1,1-diyl, butane-1,2-diyl, butane-1,3-diyl, butane-2,3-diyl, butane-1,4-diyl, 1,1-dimethylethane-1,2-diyl, pentane-1,5-diyl, 2-methylbutane-1,2-diyl, and hexane-1,6-diyl; cycloalkanediyl groups such as cyclopropanediyl, cyclobutanediyl, cyclopentanediyl and cyclohexanediyl, and combinations thereof.


The hydrocarbylene group Z41 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are shown below, but not limited thereto.




embedded image




    • Herein, the broken line designates a valence bond.





In formula (c1), R31 and R32 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C20 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, and tert-butyl; C3-C20 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, and adamantyl; C2-C20 alkenyl groups such as vinyl, allyl, propenyl, butenyl, and hexenyl; C3-C20 cyclic unsaturated hydrocarbyl groups such as cyclohexenyl; C6-C20 aryl groups such as phenyl, naphthyl and thienyl; C7-C20 aralkyl groups such as benzyl, 1-phenylethyl, and 2-phenylethyl, and combinations thereof. Of these, aryl groups are preferred. In the hydrocarbyl group, some hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, fluorine, chlorine, bromine, iodine, cyano, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.


Also, R31 and R32 may bond together to form a ring with the sulfur atom to which they are attached. Examples of the ring are as exemplified above for the ring that Rct1 and Rct2 in formula (cation-1) form with the sulfur atom to which they are attached.


Examples of the cation in repeat unit (c1) are given below, but not limited thereto. Herein, RA is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In formula (c1), M is a non-nucleophilic counter ion. Sulfonate anions, imide anions and methide anions are preferred. Examples of the sulfonate ion include halide ions such as chloride and bromide ions; fluoroalkylsulfonate ions such as triflate, 1,1,1-trifluoroethanesulfonate and nonafluorobutanesulfonate; arylsulfonate ions such as tosylate, benzenesulfonate, 4-fluorobenzenesulfonate and 1,2,3,4,5-pentafluorobenzenesulfonate ions; and alkylsulfonate ions such as mesylate and butanesulfonate ions. Examples of the imide anion (imide ion) include bis(trifluoromethylsulfonyl)imide, bis(perfluoroethylsulfonyl)imide and bis(perfluorobutylsulfonyl)imide ions. Examples of the methide anion (methide ion) include imide ions such as bis(trifluoromethylsulfonyl)imide, bis(perfluoroethylsulfonyl)imide and bis(perfluorobutylsulfonyl)imide ions; and tris(trifluoromethylsulfonyl)methide and tris(perfluoroethylsulfonyl)methide ions.


Anions having the following formulae (c1-1) to (c1-4) are also useful as the non-nucleophilic counter ion.




embedded image


In formula (c1-1), Rfa is fluorine or a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as will be exemplified below for the hydrocarbyl group Rfa1 in formula (c1-1-1).


Of the anions of formula (c1-1), an anion having the formula (c1-1-1) is preferred.




embedded image


In formula (c1-1-1), Q11 and Q12 are each independently hydrogen, fluorine or a C1-C6 fluorinated saturated hydrocarbyl group. It is preferred for solvent solubility that at least one of Q11 and Q12 be trifluoromethyl. The subscript e is an integer of 0 to 4, preferably 1. Rfa1 is a C1-C35 hydrocarbyl group which may contain a heteroatom. As the heteroatom, oxygen, nitrogen, sulfur and halogen atoms are preferred, with oxygen being most preferred. Of the hydrocarbyl groups, those groups of 6 to 30 carbon atoms are preferred from the aspect of achieving a high resolution in forming patterns of small feature size.


In formula (c1-1-1), the C1-C35 hydrocarbyl group Rfa1 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C35 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, pentyl, neopentyl, hexyl, heptyl, 2-ethylhexyl, nonyl, undecyl, tridecyl, pentadecyl, heptadecyl, and icosyl; C3-C35 cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, 1-adamantyl, 2-adamantyl, 1-adamantylmethyl, norbornyl, norbomylmethyl, tricyclodecyl, tetracyclododecyl, tetracyclododecylmethyl, and dicyclohexylmethyl; C2-C35 unsaturated aliphatic hydrocarbyl groups such as allyl and 3-cyclohexenyl; C6-C35 aryl groups such as phenyl, 1-naphthyl, 2-naphthyl and 9-fluorenyl; and C7-C35 aralkyl groups such as benzyl and diphenylmethyl, and combinations thereof.


In the hydrocarbyl group, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, fluorine, chlorine, bromine, iodine, cyano, nitro, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety. Examples of the heteroatom-containing hydrocarbyl group include tetrahydrofuryl, methoxymethyl, ethoxymethyl, methylthiomethyl, acetamidomethyl, trifluoroethyl, (2-methoxyethoxy)methyl, acetoxymethyl, 2-carboxy-1-cyclohexyl, 2-oxopropyl, 4-oxo-1-adamantyl, and 3-oxocyclohexyl.


In formula (c1-1-1), La1 is a single bond, ether bond, ester bond, sulfonic ester bond, carbonate bond or carbamate bond. From the aspect of synthesis, an ether bond or ester bond is preferred, with the ester bond being more preferred.


Examples of the anion having formula (c1-1) are shown below, but not limited thereto. Herein Q11 is as defined above, and Ac is an acetyl group.




text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


text missing or illegible when filed


In formula (c1-2), Rfb1 and Rfb2 are each independently fluorine or a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for Rfa1 in formula (c1-1-1). Preferably Rfb1 and Rfb2 are fluorine or C1-C4 straight fluorinated alkyl groups. Also, Rfb1 and Rfb2 may bond together to form a ring with the linkage: —CF2—SO2—N—SO2—CF2— to which they are attached. It is preferred that a combination of Rfb1 and Rfb2 be a fluorinated ethylene or fluorinated propylene group.


In formula (c1-3), Rfc1, Rfc2 and Rfc3 are each independently fluorine or a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for Rfa1 in formula (c1-1-1). Preferably Rfc1, Rfc2 and Rfc3 are fluorine or C1-C4 straight fluorinated alkyl groups. Also, Rfc1 and Rfc2 may bond together to form a ring with the linkage: —CF2—SO2—C—SO2—CF2— to which they are attached. It is preferred that a combination of Rfc1 and Rfc2 be a fluorinated ethylene or fluorinated propylene group.


In formula (c1-4), Rfd is a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for Rfa1 in formula (c1-1-1).


Examples of the anion having formula (c1-4) are shown below, but not limited thereto.




embedded image


embedded image


Anions having an iodized or brominated aromatic ring are also useful as the non-nucleophilic counter ion. These anions have the formula (c1-5).




embedded image


In formula (c1-5), x is an integer of 1 to 3, y is an integer of 1 to 5, z is an integer of 0 to 3, and y+z is from 1 to 5; preferably, y is an integer of 1 to 3, more preferably 2 or 3, and z is an integer of 0 to 2.


In formula (c1-5), XBI is iodine or bromine. A plurality of XBI may be identical or different when x and/or y is 2 or more.


In formula (c1-5), L11 is a single bond, ether bond, ester bond, or a C1-C6 saturated hydrocarbylene group which may contain an ether bond or ester bond. The saturated hydrocarbylene group may be straight, branched or cyclic.


In formula (c1-5), L12 is a single bond or a C1-C20 divalent linking group when x=1, or a C1-C20 (x+1)-valent linking group when x=2 or 3. The linking group may contain an oxygen, sulfur or nitrogen atom.


In formula (c1-5), Rfe is hydroxy, carboxy, fluorine, chlorine, bromine, amino group, or a C1-C20 hydrocarbyl, C1-C20 hydrocarbyloxy, C2-C20 hydrocarbylcarbonyl, C2-C20 hydrocarbyloxycarbonyl, C2-C20 hydrocarbylcarbonyloxy, or C1-C20 hydrocarbylsulfonyloxy group, which may contain fluorine, chlorine, bromine, hydroxy, amino or ether bond, or —N(RfeA)(RfeB), —N(RfeC)—C(═O)—RfeD or —N(RfeC)—C(═O)—O—RfeD. RfeA and RfeB are each independently hydrogen or a C1-C6 saturated hydrocarbyl group. RfeC is hydrogen, or a C1-C6 saturated hydrocarbyl group which may contain halogen, hydroxy, C1-C6 saturated hydrocarbyloxy, C2-C6 saturated hydrocarbylcarbonyl or C2-C6 saturated hydrocarbylcarbonyloxy moiety. RfeD is a C1-C16 aliphatic hydrocarbyl group, C6-C12 aryl group or C7-C15 aralkyl group, which may contain halogen, hydroxy, C1-C6 saturated hydrocarbyloxy, C2-C6 saturated hydrocarbylcarbonyl or C2-C6 saturated hydrocarbylcarbonyloxy moiety. The aliphatic hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. The hydrocarbyl, hydrocarbyloxy, hydrocarbylcarbonyl, hydrocarbyloxycarbonyl, hydrocarbylcarbonyloxy, and hydrocarbylsulfonyloxy groups may be straight, branched or cyclic. A plurality of Rfe may be identical or different when x and/or z is 2 or more.


Of these, Rfe is preferably hydroxy, —N(RfeC)—C(═O)—RfeD, —N(R)—C(═O)—O—RfeD, fluorine, chlorine, bromine, methyl or methoxy.


In formula (c1-5). Rf11 to Rf14 are each independently hydrogen, fluorine or trifluoromethyl, at least one of Rf11 to Rf14 is fluorine or trifluoromethyl. Rf11 and Rf12 taken together, may form a carbonyl group. More preferably, both Rf13 and Rf14 are fluorine.


Examples of the anion having formula (c1-5) are shown below, but not limited thereto. XBI is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


Other useful examples of the non-nucleophilic counter ion include fluorobenzenesulfonic acid anions having an iodized aromatic ring bonded thereto as described in JP 6648726, anions having an acid-catalyzed decomposition mechanism as described in WO 2021/200056 and JP-A 2021-070692, anions having a cyclic ether group as described in JP-A 2018-180525 and JP-A 2021-035935, and anions as described in JP-A 2018-092159.


Further useful examples of the non-nucleophilic counter ion include bulky fluorine free benzenesulfonic acid anions as described in JP-A 2006-276759, JP-A 2015-117200, JP-A 2016-065016, and JP-A 2019-202974; fluorine-free benzenesulfonic acid or alkylsulfonic acid anions having an iodized aromatic group bonded thereto as described in JP 6645464.


Also useful are bissulfonic acid anions as described in JP-A 2015-206932, sulfonamide or sulfonimide anions having sulfonic acid side and different side as described in WO 2020/158366, and anions having a sulfonic acid side and a carboxylic acid side as described in JP-A 2015-024989.


In formulae (c2) and (c3), L is a single bond, ether bond, ester bond, carbonyl, sulfonic ester bond, carbonate bond or carbamate bond. From the aspect of synthesis, an ether bond, ester bond or carbonyl is preferred, with the ester bond or carbonyl being more preferred.


In formula (c2), Rf1 and Rf2 are each independently fluorine or a C1-C6 fluorinated saturated hydrocarbyl group. It is preferred that both Rf1 and Rf2 be fluorine because the generated acid has a higher acid strength. Rf3 and Rf4 are each independently hydrogen, fluorine or a C1-C6 fluorinated saturated hydrocarbyl group. It is preferred for solvent solubility that at least one of Rf3 and Rf4 be trifluoromethyl.


In formula (c3), Rf5 and Rf6 are each independently hydrogen, fluorine or a C1-C6 fluorinated saturated hydrocarbyl group. It is excluded that all Rf5 and Rf6 are hydrogen at the same time. It is preferred for solvent solubility that at least one of Rf5 and Rf6 be trifluoromethyl.


In formulae (c2) and (c3), d is an integer of 0 to 3, preferably 1.


Examples of the repeat unit (c2) are shown below, but not limited thereto. Herein, RA is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the repeat unit (c3) are shown below, but not limited thereto. Herein, RA is aa defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the anion in repeat unit (c4) are shown below, but not limited thereto. Herein, RA is aa defined above.




embedded image


embedded image


In formulae (c2) to (c4), A+ is an onium cation. Suitable onium cations include ammonium, sulfonium and iodonium cations, with the sulfonium and iodonium cations being preferred. Examples thereof are as exemplified above for the cation having formula (cation-1) and the cation having formula (cation-2) and as will be exemplified later for the cation having formula (cation-3), but not limited thereto.


Exemplary structures of the repeat units (c1) to (c4) include arbitrary combinations of anions with cations both as exemplified above.


Of the repeat units (c1) to (c4), repeat units (c2), (c3) and (c4) are preferred from the aspect of controlling acid diffusion, repeat units (c2) and (c4) are more preferred from the aspect of the acid strength of generated acid, and repeat units (c2) are most preferred from the aspect of solvent solubility.


The base polymer may further comprise repeat units (d) of a structure having a hydroxy group protected with an acid labile group. The repeat unit (d) is not particularly limited as long as the unit includes one or more structures having a hydroxy group protected with a protective group such that the protective group is decomposed to generate the hydroxy group under the action of acid. Repeat units having the formula (d1) are preferred.




embedded image


In formula (d1), RA is as defined above. R41 is a C1-C30 (f+1)-valent hydrocarbon group which may contain a heteroatom. R42 is an acid labile group, f is an integer of 1 to 4.


In formula (d1), the acid labile group R42 is deprotected under the action of acid so that a hydroxy group is generated. The structure of R42 is not particularly limited, an acetal structure, ketal structure, alkoxycarbonyl group and alkoxymethyl group having the following formula (d2) are preferred, with the alkoxymethyl group having formula (d2) being more preferred.




embedded image




    • Herein, the broken line designates a valence bond. R43 is a C1-C15 hydrocarbyl group.





Illustrative examples of the acid labile group R42, the alkoxymethyl group having formula (d2), and the repeat units (d) are as exemplified for the repeat units (d) in JP-A 2020-111564.


In addition to the foregoing units, the base polymer may further comprise recurring units (e) derived from indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, and norbornadiene, or derivatives thereof. Examples of the monomer from which recurring units (e) are derived are shown below, but not limited thereto.




embedded image


Furthermore, the base polymer may comprise recurring units (f) derived from indane, vinylpyridine, vinylcarbazole, or derivatives thereof.


In the polymer, repeat units (a1), (a2), (b1), (b2), (c1) to (c4), (d), (e), and (f) are incorporated in a ratio of preferably 0<a1≤0.8, 0≤a2≤0.8, 0≤b1≤0.6, 0≤b2≤0.6, 0≤c1≤0.4, 0≤c2≤0.4, 0≤c3≤0.4, 0≤c4≤0.4, 0≤d≤0.5, 0≤e≤0.3, and 0≤f≤0.3; more preferably 0<a1≤0.7, 0≤a2≤0.7, 0≤b1≤0.5, 0≤b2≤0.5, 0≤c1≤50.3, 0≤c2≤0.3, 0≤c3≤0.3, 0≤c4≤0.3, 0≤d≤0.3, 0≤e≤0.3, and 0≤f≤0.3.


The polymer should preferably have a weight average molecular weight (Mw) in the range of 1,000 to 500,000, and more preferably 3,000 to 100,000. A Mw in the range ensures satisfactory etch resistance and eliminates the risk of resolution being lowered due to a failure to acquire a difference in dissolution rate before and after exposure. In the invention, Mw is a value measured by gel permeation chromatography (GPC) with THF or N,N-dimethylformamide (DMF) as a solvent, and calculated as polystyrene.


The influence of Mw/Mn becomes stronger as the pattern rule becomes finer. Therefore, the polymer should preferably have a narrow dispersity (Mw/Mn) of 1.0 to 2.0 in order to provide a resist composition suitable for micropatterning to a small feature size. A Mw/Mn in the range ensures that the contents of lower and higher molecular weight polymer fractions are low and eliminates a possibility that foreign matter is left on the pattern or the pattern profile is degraded.


The polymer may be synthesized, for example, by dissolving a monomer or monomers corresponding to the above-mentioned repeat units in an organic solvent, adding a radical polymerization initiator, and heating for polymerization.


Examples of the organic solvent which can be used for polymerization include toluene, benzene, THF, diethyl ether, dioxane, cyclohexane, cyclopentane, methyl ethyl ketone (MEK), propylene glycol monomethyl ether acetate (PGMEA), and γ-butyrolactone (GBL). Examples of the polymerization initiator used herein include 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl-2,2-azobis(2-methylpropionate), 1,1′-azobis(1-acetoxy-1-phenylethane), benzoyl peroxide, and lauroyl peroxide. The initiator is preferably added in an amount of 0.01 to 25 mol % based on the total of monomers to be polymerized. The reaction temperature is preferably 50 to 150° C., more preferably 60 to 100° C. The reaction time is preferably 2 to 24 hours, more preferably 2 to 12 hours in view of production efficiency.


The polymerization initiator may be fed to the reactor either by adding the initiator to the monomer solution and feeding the solution to the reactor, or by dissolving the initiator in a solvent to form an initiator solution and feeding the initiator solution and the monomer solution independently to the reactor. Because of a possibility that in the standby duration, the initiator generates a radical which triggers polymerization reaction to form an ultra-high-molecular-weight polymer, it is preferred from the standpoint of quality control to prepare the monomer solution and the initiator solution separately and add them dropwise. The acid labile group that has been incorporated in the monomer may be kept as such, or polymerization may be followed by protection or partial protection. During the polymer synthesis, any known chain transfer agent such as dodecyl mercaptan or 2-mercaptoethanol may be added for molecular weight control purpose. The amount of chain transfer agent added is preferably 0.01 to 20 mol % based on the total of monomers.


When a hydroxy-containing monomer is copolymerized, the hydroxy group is substituted with an acetal group which is susceptible to deprotection with acid, typically ethoxyethoxy, prior to polymerization, and the polymerization is followed by deprotection with weak acid and water. Alternatively, the hydroxy group is substituted with an acetyl, formyl or pivaloyl group prior to polymerization, and the polymerization is followed by alkaline hydrolysis.


When hydroxystyrene or hydroxyvinylnaphthalene is copolymerized, one method is dissolving hydroxystyrene or hydroxyvinylnaphthalene and other monomers in an organic solvent, adding a radical polymerization initiator thereto, and heating the solution for polymerization. In an alternative method, acetoxystyrene or acetoxyvinylnaphthalene is used instead, and after polymerization, the acetoxy group is deprotected by alkaline hydrolysis, for thereby converting the polymer product to polyhydroxystyrene or polyhydroxyvinylnaphthalene.


For alkaline hydrolysis, a base such as aqueous ammonia or triethylamine may be used. Preferably the reaction temperature is −20 to 100° C., more preferably 0 to 60° C. The reaction time is 0.2 to 100 hours, more preferably 0.5 to 20 hours.


The amounts of monomers in the monomer solution may be determined appropriate so as to provide the preferred fractions of repeat units.


It is now described how to use the polymer obtained by the above preparation method. The reaction solution resulting from polymerization reaction may be used as the final product. Alternatively, the polymer may be recovered in powder form through a purifying step such as re-precipitation step of adding the polymerization solution to a poor solvent and letting the polymer precipitate as powder, after which the polymer powder is used as the final product. It is preferred from the standpoints of operation efficiency and consistent quality to handle a polymer solution which is obtained by dissolving the powder polymer resulting from the purifying step in a solvent, as the final product.


The solvents which can be used herein are described in JP-A 2008-111103, paragraphs [0144]-[0145]. Exemplary solvents include ketones such as cyclohexanone and methyl-2-n-pentyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2-propanol; keto-alcohols such as diacetone alcohol (DAA); ethers such as propylene glycol monomethyl ether (PGME), ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3 methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono-tert-butyl ether acetate; lactones such as γ-butyrolactone (GBL); and high-boiling alcohols such as diethylene glycol, propylene glycol, glycerol, 1,4-butanediol, and 1,3-butanediol, which may be used alone or in admixture.


The polymer solution preferably has a polymer concentration of 0.01 to 30 wt %, more preferably 0.1 to 20 wt %.


Prior to use, the reaction solution or polymer solution is preferably filtered through a filter. Filtration is effective for consistent quality because foreign matter and gel which can cause defects are removed.


Suitable materials of which the filter is made include fluorocarbon, cellulose, nylon, polyester, and hydrocarbon base materials. Preferred for the filtration of a resist composition are filters made of fluorocarbons commonly known as Teflon®, hydrocarbons such as polyethylene and polypropylene, and nylon. While the pore size of the filter may be selected appropriate to comply with the desired cleanness, the filter preferably has a pore size of up to 100 nm, more preferably up to 20 mm. A single filter may be used or a plurality of filters may be used in combination. Although the filtering method may be single pass of the solution, preferably the filtering step is repeated by flowing the solution in a circulating manner. In the polymer preparation process, the filtering step may be carried out any times, in any order and in any stage. The reaction solution as polymerized or the polymer solution may be filtered, preferably both are filtered.


The base polymer (B) may be used alone or as a blend of two or more polymers which differ in compositional ratio, Mw and/or Mw/Mn. Component (B) may also be a blend of the base polymer defined above and a hydrogenated product of ROMP. For the ROMP, reference is made to JP-A 2003-066612.


(C) Organic Solvent


The inventive chemically amplified resist composition may comprise an organic solvent as component (C). The organic solvent (C) is not particularly limited as long as the foregoing and other components are soluble therein. Suitable solvents include ketones such as cyclopentanone, cyclohexanone, and methyl-2-n-pentyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2-propanol; keto-alcohols such as diacetone alcohol (DAA); ethers such as propylene glycol monomethyl ether (PGME), ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3 methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono-tert-butyl ether acetate; and lactones such as 7-butyrolactone (GBL), and mixtures thereof.


Of the foregoing organic solvents, it is recommended to use 1-ethoxy-2-propanol, PGMEA, cyclohexanone, GBL, DAA and mixtures thereof because the base polymer (B) is most soluble therein.


In the inventive chemically amplified resist composition, the organic solvent (C) is preferably added in an amount of 200 to 5,000 parts by weight, and more preferably 400 to 3,500 parts by weight per 80 parts by weight of the base polymer (B). The organic solvent (C) may be used alone or in admixture.


(D) Quencher


The inventive chemically amplified resist composition may further comprise a quencher as component (D). As used herein, the quencher refers to a compound capable of trapping the acid generated by the PAG in the chemically amplified resist composition to prevent the acid from diffusing to the unexposed region, for thereby forming the desired pattern.


Onium salts having the formulae (2) and (3) are useful as the quencher (D).




embedded image


In formula (2). Rq1 is hydrogen or a C1-C40 hydrocarbyl group which may contain a heteroatom, exclusive of the hydrocarbyl group in which the hydrogen atom bonded to the carbon atom at α-position of the sulfo group is substituted by fluorine or fluoroalkyl. In formula (3), Rq2 is a hydrogen atom, or a C1-C40 hydrocarbyl group which may contain a heteroatom.


Examples of the C1-C40 hydrocarbyl group Rq1 include C1-C40 alkyls such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, 2-ethylhexyl, n-nonyl and n-decyl groups; C3-C40 cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, cycloheptylmethyl, cyclopentylethyl, cycloheptylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbomyl, tricyclo[5.2.1.02,6] decyl and adamantyl groups; and C6-C40 aryl groups such as phenyl, naphthyl and anthracenyl groups. In these hydrocarbyl groups, some or all hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some constituent CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, fluorine, chlorine, bromine, iodine, cyano, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.


Examples of the hydrocarbyl group Rq2 include those exemplified above for Rq1 and fluorinated saturated hydrocarbyl groups such as trifluoromethyl and trifluoroethyl, and fluorinated aryl groups such as pentafluorophenyl and 4-trifluoromethylphenyl.


Examples of the anion in the onium salt having formula (2) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the anion in the onium salt having formula (3) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


In formulae (2) and (3), Mq+ is an onium cation, which is preferably selected from sulfonium cations having formula (cation-1), iodonium cations having formula (cation-2), and ammonium cations having the following formula (cation-3).




embedded image


In formula (cation-3), Rct6 to Rct9 are each independently a C1-C40 hydrocarbyl group which may contain a heteroatom. A pair of Rct6 and Rct7 may bond together to form a ring with the nitrogen atom to which they are attached. Examples of the hydrocarbyl group are as exemplified above for Rct1 to Rct5 in formulae (cation-1) and (cation-2).


Examples of the ammonium cation having formula (cation-3) are shown below, but not limited thereto.




embedded image


Examples of the onium salt having formula (2) or (3) include arbitrary combinations of anions with cations, both as exemplified above. These onium salts may be readily prepared by ion exchange reaction using any well-known organic chemistry technique. For the ion exchange reaction, reference may be made to JP-A 2007-145797, for example.


The onium salt having formula (2) or (3) functions as a quencher in the chemically amplified resist composition because the counter anion of the onium salt is a conjugated base of a weak acid. As used herein, the weak acid indicates an acidity insufficient to deprotect an acid labile group from an acid labile group-containing unit in the base polymer. The onium salt having formula (2) or (3) functions as a quencher when used in combination with an onium salt type PAG having a conjugated base of a strong acid (typically a sulfonic acid which is fluorinated at α-position) as the counter anion. In a system using a mixture of an onium salt capable of generating a strong acid (e.g., α-position fluorinated sulfonic acid) and an onium salt capable of generating a weak acid (e.g., non-fluorinated sulfonic acid or carboxylic acid), if the strong acid generated from the PAG upon exposure to high-energy radiation collides with the unreacted onium salt having a weak acid anion, then a salt exchange occurs whereby the weak acid is released and an onium salt having a strong acid anion is formed. In this course, the strong acid is exchanged into the weak acid having a low catalysis, incurring apparent deactivation of the acid for enabling to control acid diffusion.


Also useful as the quencher (D) are onium salts having a sulfonium cation and a phenoxide anion site in a common molecule as described in JP 6848776, onium salts having a sulfonium cation and a carboxylate anion site in a common molecule as described in JP 6583136 and JP-A 2020-200311, and onium salts having an iodonium cation and a carboxylate anion site in a common molecule as described in JP 6274755.


If a PAG capable of generating a strong acid is an onium salt, an exchange from the strong acid generated upon exposure to high-energy radiation to a weak acid as above can take place, but it rarely happens that the weak acid generated upon exposure to high-energy radiation collides with the unreacted onium salt capable of generating a strong acid to induce a salt exchange. This is because of a likelihood of an onium cation forming an ion pair with a stronger acid anion.


When the onium salt having formula (2) or (3) is used as the quencher (D), the amount of the onium salt used is preferably 0.1 to 20 parts by weight, more preferably 0.1 to 10 parts by weight per 80 parts by weight of the base polymer (B). As long as the amount of component (D) is in the range, a satisfactory resolution is available without a substantial lowering of sensitivity. The onium salt having formula (2) or (3) may be used alone or in admixture.


The inventive chemically amplified resist composition may comprise a nitrogen-containing compound as the quencher (D). Nitrogen-containing compounds (D) include primary, secondary and tertiary amine compounds, specifically amine compounds having a hydroxy group, ether bond, ester bond, lactone ring, cyano group or sulfonic ester bond, as described in JP A 2008-111103, paragraphs [0146]-[0164] (U.S. Pat. No. 7,537,880), and primary or secondary amine compounds protected with a carbamate group, as described in JP 3790649.


A sulfonic acid sulfonium salt having a nitrogen-containing substituent may also be used as the nitrogen-containing compound. This compound functions as a quencher in the unexposed region, but as a so-called photo-degradable base in the exposed region because it loses the quencher function in the exposed region due to neutralization thereof with the acid generated by itself. Using a photo-degradable base, the contrast between exposed and unexposed regions can be further enhanced. With respect to the photo-degradable base, reference may be made to JP-A 2009-109595 and JP-A 2012-046501, for example.


When the nitrogen-containing compound is used as the quencher (D), the amount of the nitrogen-containing compound used is preferably 0.001 to 12 parts by weight, more preferably 0.01 to 8 parts by weight per 80 parts by weight of the base polymer (B). The nitrogen-containing compound may be used alone or in admixture.


(E) Other Photoacid Generator


The chemically amplified resist composition may comprise (E) a photoacid generator other than component (A) (hereinafter, also referred to as other PAG). The other PAG is not particularly limited as long as it is capable of generating an acid upon exposure to high-energy radiation. The preferred other PAG is a salt having the formula (4) or (5).




embedded image


In formula (4), R101 to R105 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom. Any two of R101 and R102 and R103 may bond together to form a ring with a sulfur atom to which they are attached. Examples of the hydrocarbyl group are as exemplified above for Rct1 to Rct5 in formulae (cation-1) and (cation-2).


Examples of the sulfonium cation in the salt having formula (4) are as exemplified above for the sulfonium cation having formula (cation-1). Examples of the iodonium cation in the salt having formula (5) are as exemplified above for the iodonium cation having formula (cation-2).


In formulae (4) and (5), Xa is an anion of strong acid selected from formulae (c1-1) to (c1-5).


Compounds having the formula (6) are also preferred as the other PAG (E).




embedded image


In formula (6), R201 to R202 are each independently a C1-C30 hydrocarbyl group which may contain a heteroatom. R203 is a C1-C30 hydrocarbylene group which may contain a heteroatom. Any two of R201 and R202 and R203 may bond together to form a ring with a sulfur atom to which they are attached.


The C1-C30 hydrocarbyl groups R201 and R202 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C30 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, tert-pentyl, n-pentyl, n-hexyl, n-octyl, 2-ethylhexyl, n-nonyl and n-decyl groups; C3-C30 cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, cycloheptylmethyl, cyclopentylethyl, cycloheptylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, oxanorbomyl, tricyclo[5.2.1.02,6]decyl and adamantyl groups; C6-C30 aryl groups such as phenyl, methylphenyl, ethylphenyl, n-propylphenyl, isopropylphenyl, n-butylphenyl, isobutylphenyl, sec-butylphenyl, tert-butylphenyl, naphthyl, methylnaphthyl, ethylnaphthyl, n-propylnaphthyl, isopropylnaphthyl, n-butylnaphthyl, isobutylnaphthyl, sec-butylnaphthyl, tert-butylnaphthyl and anthracenyl groups; and combinations thereof. In the hydrocarbyl group, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, cyano, fluorine, chlorine, bromine, iodine, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.


The C1-C30 hydrocarbylene group R203 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C30 alkanediyl groups such as methanediyl, ethane-1,1-diyl, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, heptane-1,7-diyl, octane-1,8-diyl, nonane-1,9-diyl, decane-1,10-diyl, undecane-1,11-diyl, dodecane-1,12-diyl, tridecane-1,13-diyl, tetradecane-1,14-diyl, pentadecane-1,15-diyl, hexadecane-1,16-diyl and heptadecane-1,17-diyl groups; C3-C30 cyclic saturated hydrocarbylene groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantanediyl groups; and arylene groups such as phenylene, methylphenylene, ethylphenylene, n-propylphenylene, isopropylphenylene, n-butylphenylene, isobutylphenylene, sec-butylphenylene, tert-butylphenylene, naphthylene, methylnaphthylene, ethylnaphthylene, n-propylnaphthylene, isopropylnaphthalene, n-butylnaphthylene, isobutylnaphthylene, sec-butylnaphthylene and tert-butylnaphthylene groups. In these hydrocarbylene groups, some or all hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, cyano, fluorine, chlorine, bromine, iodine, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety. Of the heteroatoms, oxygen is preferred.


In formula (6). LA is a single bond, ether bond or a C1-C20 hydrocarbylene group which may contain a heteroatom. The hydrocarbylene group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for the hydrocarbylene group R203.


In formula (6), Xa, Xb, Xc and Xd are each independently hydrogen, fluorine or trifluoromethyl, at least one of Xa, Xb, Xc and Xd being fluorine or trifluoromethyl.


Of the PAGs having formula (6), those having formula (6′) are preferred.




embedded image


In formula (6′), LA is as defined above. Xe is hydrogen or trifluoromethyl, preferably trifluoromethyl. R301, R302 and R303 are each independently hydrogen or a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for Rfa1 in formula (c1-1-1). The subscripts m1 and m2 are each independently an integer of 0 to 5, and m3 is an integer of 0 to 4.


Examples of the PAG having formula (6) include those exemplified for the PAG having formula (2) in JP-A 2017-026980.


Of the foregoing PAGs, those having an anion of formula (c1-1-1) or (c1-4) are especially preferred because of reduced acid diffusion and high solubility in solvents. Also those having formula (6′) are especially preferred because of extremely reduced acid diffusion.


When used, the other PAG (E) is preferably added in an amount of 0.1 to 40 parts by weight, and more preferably 0.5 to 20 parts by weight per 80 parts by weight of the base polymer (B). As long as the amount of the PAG (E) is in the range, good resolution is achievable and the risk of foreign matter being formed after development or during stripping of resist film is avoided. The other PAG (E) may be used alone or in admixture.


(F) Surfactant


The inventive chemically amplified resist composition may further include (F) a surfactant which is insoluble or substantially insoluble in water and soluble in alkaline developer, and/or a surfactant which is insoluble or substantially insoluble in water and alkaline developer. For the surfactant, reference should be made to those compounds described in JP-A 2010-215608 and JP-A 2011-016746.


While many examples of the surfactant which is insoluble or substantially insoluble in water and alkaline developer are described in the patent documents cited herein, preferred examples are surfactants FC-4430 (3M), Olfine® E1004 (Nissin Chemical Co., Ltd.), Surflon® S-381, KH-20 and KH-30 (AGC Seimi Chemical Co., Ltd.). Partially fluorinated oxetane ring-opened polymers having the formula (surf-1) are also useful.




embedded image


It is provided herein that R, Rf, A, B, C, m, and n are applied to only formula (surf-1), independent of their descriptions other than for the surfactant. R is a di- to tetra-valent C2-C5 aliphatic group. Exemplary divalent aliphatic groups include ethylene, 1,4-butylene, 1,2-propylene, 2,2-dimethyl-1,3-propylene and 1,5-pentylene. Exemplary tri- and tetra-valent groups are shown below.




embedded image




    • Herein the broken line denotes a valence bond. These formulae are partial structures derived from glycerol, trimethylol ethane, trimethylol propane, and pentaerythritol, respectively.





Of these, 1,4-butylene and 2,2-dimethyl-1,3-propylene are preferred.


Rf is trifluoromethyl or pentafluoroethyl, and preferably trifluoromethyl. The subscript m is an integer of 0 to 3, n is an integer of 1 to 4, and the sum of m and n, which represents the valence of R, is an integer of 2 to 4. “A” is equal to 1, B is an integer of 2 to 25, and C is an integer of 0 to 10. Preferably, B is an integer of 4 to 20, and C is 0 or 1. Note that the formula (surf-1) does not prescribe the arrangement of respective constituent units while they may be arranged either blockwise or randomly. For the preparation of surfactants in the form of partially fluorinated oxetane ring-opened polymers, reference should be made to U.S. Pat. No. 5,650,483, for example.


The surfactant which is insoluble or substantially insoluble in water and soluble in alkaline developer is useful when ArF immersion lithography is applied to the resist composition in the absence of a resist protective film. In this embodiment, the surfactant has a propensity to segregate on the resist surface for achieving a function of minimizing water penetration or leaching. The surfactant is also effective for preventing water-soluble components from being leached out of the resist film for minimizing any damage to the exposure tool. The surfactant becomes solubilized during alkaline development following exposure and PEB, and thus forms few or no foreign matter which become defects. The preferred surfactant is a polymeric surfactant which is insoluble or substantially insoluble in water, but soluble in alkaline developer, also referred to as “hydrophobic resin” in this sense, and especially which is water repellent and enhances water sliding.


Suitable polymeric surfactants include those containing repeat units of at least one type selected from the formulae (7A) to (7E).




embedded image


In formulae (7A) to (7E), RB is hydrogen, fluorine, methyl or trifluoromethyl. W1 is —CH2—, —CH2CH2— or —O—, or two separate —H. Rs1 is each independently hydrogen or a C1-C10 hydrocarbyl group. Rs2 is a single bond or a C1-C5 straight or branched hydrocarbylene group. Rs3 is each independently hydrogen, a C1-C15 hydrocarbyl or fluorinated hydrocarbyl group, or an acid labile group. When Rs3 is a hydrocarbyl or fluorinated hydrocarbyl group, an ether bond or carbonyl moiety may intervene in a carbon-carbon bond. Rs4 is a C1-C20 (u+1)-valent hydrocarbon or fluorinated hydrocarbon group, and u is an integer of 1 to 3. Rs5 is each independently hydrogen or a group: —C(═O)—O—Rsa wherein Rsa is a C1-C20 fluorinated hydrocarbyl group. Rs6 is a C1-C15 hydrocarbyl or fluorinated hydrocarbyl group in which an ether bond or carbonyl moiety may intervene in a carbon-carbon bond.


The C1-C10 hydrocarbyl group R is preferably saturated while it may be straight, branched or cyclic. Examples thereof include C1-C10 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-heptyl, n-octyl, n-nonyl and n-decyl, and C3-C10 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, adamantyl and norbornyl. Inter alia, C1-C6 groups are preferred.


The hydrocarbylene group Rs2 is preferably saturated while it may be straight, branched or cyclic. Examples thereof include methylene, ethylene, propylene, butylene and pentylene groups.


The hydrocarbyl group Rs3 or Rs6 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include saturated hydrocarbyl groups and aliphatic unsaturated hydrocarbyl groups such as alkenyl and alkynyl groups, with the saturated hydrocarbyl groups being preferred. Suitable saturated hydrocarbyl groups include those exemplified for the hydrocarbyl group Rs1 as well as undecyl, dodecyl, tridecyl, tetradecyl, and pentadecyl. Examples of the fluorinated hydrocarbyl group Rs3 or Rs6 include the foregoing hydrocarbyl groups in which some or all carbon-bonded hydrogen atoms are substituted by fluorine atoms. In these groups, an ether bond or carbonyl moiety may intervene in a carbon-carbon bond as mentioned above.


Examples of the acid labile group Rs3 include the groups of formulae (AL-3) to (AL-5), trialkylsilyl groups in which each alkyl group is a C1-C6 alkyl group, and C4-C20 oxoalkyl groups.


The (u+1)-valent hydrocarbon or fluorinated hydrocarbon group Rs4 may be straight, branched or cyclic, and examples thereof include the foregoing hydrocarbyl or fluorinated hydrocarbyl groups from which “u” number of hydrogen atoms are eliminated.


The fluorinated hydrocarbyl group Rsa is preferably saturated while it may be straight, branched or cyclic. Examples thereof include the foregoing hydrocarbyl groups in which some or all hydrogen atoms are substituted by fluorine atoms. Illustrative examples include trifluoromethyl, 2,2,2-trifluoroethyl, 3,3,3-trifluoro-1-propyl, 3,3,3-trifluoro-2-propyl, 2,2,3,3-tetrafluoropropyl, 1,1,1,3,3,3-hexafluoroisopropyl, 2,2,3,3,4,4,4-heptafluorobutyl, 2,2,3,3,4,4,5,5-octafluoropentyl, 2,2,3,3,4,4,5,5,6,6,7,7 dodecafluoroheptyl, 2-(perfluorobutyl)ethyl, 2-(perfluorohexyl)ethyl, 2-(perfluorooctyl)ethyl, and 2-(perfluorodecyl)ethyl.


Examples of the repeat units having formulae (7A) to (7E) are shown below, but not limited thereto. Herein RB is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The polymeric surfactant may further contain repeat units other than the repeat units having formulae (7A) to (7E). Typical other repeat units are those derived from methacrylic acid and α-trifluoromethylacrylic acid derivatives. In the polymeric surfactant, the content of the repeat units having formulae (7A) to (7E) is preferably at least 20 mol %, more preferably at least 60 mol %, most preferably 100 mol % of the overall repeat units.


The polymeric surfactant preferably has a Mw of 1,000 to 500,000, more preferably 3,000 to 100,000 and a Mw/Mn of 1.0 to 2.0, more preferably 1.0 to 1.6.


The polymeric surfactant may be synthesized by any desired method, for example, by dissolving an unsaturated bond-containing monomer or monomers providing repeat units having formula (7A) to (7E) and optionally other repeat units in an organic solvent, adding a radical initiator, and heating for polymerization. Suitable organic solvents used herein include toluene, benzene, THF, diethyl ether, and dioxane. Examples of the polymerization initiator used herein include AIBN, 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), benzoyl peroxide, and lauroyl peroxide. Preferably the reaction temperature is 50 to 100° C. and the reaction time is 4 to 24 hours. The acid labile group that has been incorporated in the monomer may be kept as such, or polymerization may be followed by protection or partial protection.


During the synthesis of polymeric surfactant, any known chain transfer agent such as dodecyl mercaptan or 2-mercaptoethanol may be added for molecular weight control purpose. The amount of chain transfer agent added is preferably 0.01 to 10 mol % based on the total moles of monomers to be polymerized.


When the inventive chemically amplified resist composition contains a surfactant (F), the amount thereof is preferably 0.1 to 50 parts by weight, and more preferably 0.5 to 10 parts by weight per 80 parts by weight of the base polymer (B). At least 0.1 parts by weight of the surfactant is effective in improving the receding contact angle with water of the resist film at its surface. Up to 50 parts by weight of the surfactant is effective in forming a resist film having a low rate of dissolution in a developer and capable of maintaining the height of a small-size pattern formed therein. The surfactant (F) may be used alone or in admixture.


(G) Other Components


The inventive chemically amplified resist composition may further comprise (G) another component, for example, a compound which is decomposed with an acid to generate another acid (i.e., acid amplifier compound), an organic acid derivative, a fluorinated alcohol, and a compound having a Mw of up to 3.000 which changes its solubility in developer under the action of an acid (i.e., dissolution inhibitor). Specifically, the acid amplifier compound is described in JP-A 2009-269953 and JP-A 2010-215608 and preferably used in an amount of 0 to 5 parts by weight, more preferably 0 to 3 parts by weight per 80 parts by weight of the base polymer (B). An extra amount of the acid amplifier compound can make the acid diffusion control difficult and cause degradations to resolution and pattern profile. With respect to the organic acid derivative, fluorinated alcohol and dissolution inhibitor, reference should be made to JP-A 2009-269953 and JP-A 2010-215608.


Patterning Process


A further embodiment of the invention is a process of forming a pattern from the chemically amplified resist composition defined above by lithography. The preferred process includes the steps of applying the resist composition onto a substrate to form a resist film thereon, exposing the resist film to high-energy radiation, and developing the exposed resist film in a developer.


The substrate used herein may be a substrate for integrated circuitry fabrication, e.g., Si, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflective film, etc. or a substrate for mask circuitry fabrication, e.g., Cr, CrO, CrON, MoSi2, SiO2, etc.


The chemically amplified resist composition is applied onto a substrate by a suitable coating technique such as spin coating. The coating is prebaked on a hot plate preferably at a temperature of 60 to 150° C. for 1 to 10 minutes, more preferably at 80 to 140° C. for 1 to 5 minutes. The resulting resist film preferably has a thickness of 0.05 to 2 μm.


Then the resist film is exposed to a pattern of high-energy radiation, typically KrF or ArF excimer laser, EB or EUV. On use of KrF excimer laser, ArF excimer laser or EUV of wavelength 13.5 nm, the resist film is exposed through a mask having a desired pattern, preferably in a dose of 1 to 200 mJ/cm2, more preferably 10 to 100 mJ/cm2. On use of EB, a pattern may be written directly or through a mask having the desired pattern, preferably in a dose of 1 to 300 μC/cm2, more preferably 10 to 200 μC/cm2.


The exposure may be performed by conventional lithography whereas the immersion lithography of holding a liquid having a refractive index of at least 1.0 between the resist film and the projection lens may be employed if desired. The liquid is typically water, and in this case, a protective film which is insoluble in water may be formed on the resist film.


While the water-insoluble protective film serves to prevent any components from being leached out of the resist film and to improve water sliding on the film surface, it is generally divided into two types. The first type is an organic solvent-strippable protective film which must be stripped, prior to alkaline development, with an organic solvent in which the resist film is not dissolvable. The second type is an alkali-soluble protective film which is soluble in an alkaline developer so that it can be removed simultaneously with the removal of solubilized regions of the resist film. The protective film of the second type is preferably of a material comprising a polymer having a 1,1,1,3,3,3-hexafluoro-2-propanol residue (which is insoluble in water and soluble in an alkaline developer) as a base in an alcohol solvent of at least 4 carbon atoms, an ether solvent of 8 to 12 carbon atoms or a mixture thereof. Alternatively, the aforementioned surfactant which is insoluble in water and soluble in an alkaline developer may be dissolved in an alcohol solvent of at least 4 carbon atoms, an ether solvent of 8 to 12 carbon atoms or a mixture thereof to form a material from which the protective film of the second type is formed.


After the exposure, the resist film may be baked (PEB), for example, on a hotplate preferably at 60 to 150° C. for 1 to 5 minutes, more preferably at 80 to 140° C. for 1 to 3 minutes.


The resist film is then developed with a developer in the form of an aqueous base solution, for example, 0.1 to 5 wt %, preferably 2 to 3 wt % aqueous solution of tetramethylammonium hydroxide (TMAH) for 0.1 to 3 minutes, preferably 0.5 to 2 minutes by conventional techniques such as dip, puddle and spray techniques. In this way, the exposed region of the resist film is dissolved away, and a desired resist pattern is formed on the substrate.


Any desired step may be added to the pattern forming process. For example, after the resist film is formed, a step of rinsing with pure water may be introduced to extract the acid generator or the like from the film surface or wash away particles. After exposure, a step of rinsing may be introduced to remove any water remaining on the film after exposure.


Also, a double patterning process may be used for pattern formation. The double patterning process includes a trench process of processing an underlay to a 1:3 trench pattern by a first step of exposure and etching, shifting the position, and forming a 1:3 trench pattern by a second step of exposure, for forming a 1:1 pattern; and a line process of processing a first underlay to a 1:3 isolated left pattern by a first step of exposure and etching, shifting the position, processing a second underlay formed below the first underlay by a second step of exposure through the 1:3 isolated left pattern, for forming a half-pitch 1:1 pattern.


In the pattern forming process, negative tone development may also be used. That is, an organic solvent may be used instead of the aqueous alkaline solution as the developer for developing and dissolving away the unexposed region of the resist film.


The organic solvent used as the developer is selected from 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isopentyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, ethyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, and 2-phenylethyl acetate. The organic solvents may be used alone or in admixture.


EXAMPLES

Synthesis Examples, Examples and Comparative Examples are given below by way of illustration and not by way of limitation. The apparatuses used are as follows.


MALDI TOF-MS: S3000 manufactured by JEOL Ltd.


[1] Synthesis of Onium Salts
Example 1-1 Synthesis of Onium Salt PAG-1



embedded image


(1) Synthesis of Intermediate In-1

In nitrogen atmosphere, 4.8 g of sodium hydride with a purity of 55 wt % was suspended in 60 mL of THF, and a solution of 14.1 g of 1-isopropylcyclopentanol and 15 mL of THF was added dropwise. After addition, the mixture was heated under reflux for 4 hours to prepare a metal alkoxide. Thereafter, 24.7 g of reactant SM-1 was added dropwise, and the mixture was heated under reflux and aged for 18 hours. The reaction solution was cooled in an ice bath, and 100 mL of water was added to quench the reaction. The end compound was extracted with a mixed solvent of 100 mL of toluene and 100 mL of hexane, followed by ordinary aqueous work-up. The solvent was distilled off. Subsequent purification by silica gel chromatography gave 28.8 g of Intermediate In-1 as colorless oily matter (yield 81%).


(2) Synthesis of Intermediate In-2

In a reactor under nitrogen atmosphere, 28.8 g of Intermediate In-1 was added a solution of 38.9 g of a 25 wt % aqueous sodium hydroxide solution and 100 mL of water, and the mixture was aged at an internal temperature of 100° C. for 24 hours. After aging, the reaction solution was cooled, and 55 g of 20 wt % hydrochloric acid was added dropwise to quench the reaction. The reaction product was extracted twice with 150 mL of ethyl acetate, followed by ordinary aqueous work-up and solvent distillation. Subsequent recrystallization by addition of hexane gave 21.8 g of Intermediate In-2 as white crystals (yield 72%).


(3) Synthesis of Intermediate In-3

In nitrogen atmosphere, a reactor was charged with 18.0 g of Intermediate In-2, 19.0 g of reactant SM-2, 0.6 g of DMAP and 60 g of methylene chloride, and cooled in an ice bath. 11.3 g of 1-ethyl-3-(3-dimethylaminopropyl)carbodiimide hydrochloride powder was added while the reactor was kept at an internal temperature of 20° C. or lower. After addition, the reaction mixture was warmed up to room temperature, and aged for 12 hours. After aging, water was added to quench the reaction, followed by ordinary aqueous work-up and solvent distillation. Subsequent recrystallization by addition of diisopropyl ether gave 33.2 g (yield 94%) of Intermediate In-3 as white crystals.


(4) Synthesis of Onium Salt PAG-1

In nitrogen atmosphere, a reactor was charged with 14.7 g of Intermediate In-3, 8.2 g of reactant SM-3, 40 g of methylene chloride and 30 g of water, and the mixture was stirred for 30 minutes. Subsequently, the organic layer was taken out, washed with water, and then concentrated under reduced pressure. Diisopropyl ether was added to the concentrate for recrystallization, obtaining 15.6 g of the target compound, PAG-1 as white crystals (yield 92%).


PAG-1 was analyzed by TOF-MS, with the data shown below.


MALDI TOF-MS:

    • POSITIVE M+261 (corresponding to C18H13S+)
    • NEGATIVE M585 (corresponding to C18H19F5IO6S)


Examples 1-2 to 1-10 Synthesis of Onium Salts PAG-2 to PAG-10

Onium salts PAG-2 to PAG-10 shown below were synthesized using corresponding reactants and well-known organic synthesis reactions.




embedded image


embedded image


embedded image


[2] Synthesis of Base Polymers
Synthesis Example Synthesis of Base Polymers P-1 to P-6

Base polymers P-1 to P-6 were synthesized by combining monomers, performing copolymerization reaction in MEK solvent, pouring the reaction solution to hexane for precipitation, washing the solid precipitate with hexane, isolation and drying. The polymer was analyzed for composition by 1H-NMR spectroscopy and for Mw and Mw/Mn by GPC versus polystyrene standards using THF solvent.




embedded image


embedded image


embedded image


embedded image


[3] Preparation of Chemically Amplified Resist Compositions
Examples 2-1 to 2-36 and Comparative Examples 1-1 to 1-34

Chemically amplified resist compositions (R-1 to R-36, CR-1 to CR-34) in solution form were prepared by dissolving an onium salt (PAG-1 to PAG-10) or comparative photoacid generator (PAG-A to PAG-E), other photoacid generator (PAG-X, PAG-Y), base polymer (Polymers P-1 to P-6), and quencher (Q-1 to Q-4) in a solvent containing 0.01 wt % of surfactant A (Omnova Solutions, Inc.) in accordance with the formulation shown in Tables 1 to 4, and filtering through a Teflon® filter with a pore size of 0.2 μm.

















TABLE 1










Other








Base
Photoacid
photoacid







Resist
polymer
generator
generator
Quencher
Solvent 1
Solvent 2




composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)























Example
2-1
R-1 
P-1
PAG-1

Q-1
PGMEA
DAA





(80)
(28)

(8.0)
(2200)
(900)



2-2
R-2 
P-1
PAG-2

Q-1
PGMEA
DAA





(80)
(28)

(7.8)
(2200)
(900)



2-3
R-3 
P-1
PAG-3

Q-1
PGMEA
DAA





(80)
(29)

(7.4)
(2200)
(900)



2-4
R-4 
P-1
PAG-4

Q-1
PGMEA
DAA





(80)
(27)

(7.8)
(2200)
(900)



2-5
R-5 
P-1
PAG-5

Q-1
PGMEA
DAA





(80)
(27)

(8.0)
(2200)
(900)



2-6
R-6 
P-1
PAG-6

Q-1
PGMEA
DAA





(80)
(29)

(8.2)
(2200)
(900)



2-7
R-7 
P-1
PAG-7

Q-1
PGMEA
DAA





(80)
(30

(7.8)
(2200)
(900)



2-8
R-8 
P-1
PAG-8

Q-1
PGMEA
DAA





(80)
(28)

(7.6)
(2200)
(900)



2-9
R-9 
P-1
PAG-9

Q-1
PGMEA
DAA





(80)
(27)

(8.0)
(2200)
(900)



2-10
R-10
P-1
PAG-10

Q-1
PGMEA
DAA





(80)
(28)

(8.2)
(2200)
(900)



2-11
R-11
P-1
PAG-1
PAG-Y
Q-2
PGMEA
DAA





(80)
(18)
(10)
(8.0)
(2200)
(900)



2-12
R-12
P-1
PAG-2
PAG-X
Q-3
PGMEA
DAA





(80)
(18)
(10)
(7.6)
(2200)
(900)



2-13
R-13
P-2
PAG-1

Q-1
PGMEA
DAA





(80)
(24)

(7.8)
(2200)
(900)



2-14
R-14
P-2
PAG-2

Q-3
PGMEA
DAA





(80)
(25)

(8.0)
(2200)
(900)



2-15
R-15
P-2
PAG-5

Q-2
PGMEA
DAA





(80)
(24)

(8.0)
(2200)
(900)



2-16
R-16
P-2
PAG-7

Q-1
PGMEA
DAA





(80)
(23)

(8.0)
(2200)
(900)



2-17
R-17
P-3
PAG-1

Q-1
PGMEA
DAA





(80)
(10

(7.6)
(2200)
(900)



2-18
R-18
P-3
PAG-2

Q-3
PGMEA
DAA





(80)
(8)

(8.0)
(2200)
(900)



2-19
R-19
P-3
PAG-6

Q-2
PGMEA
DAA





(80)
(10)

(8.0)
(2200)
(900)



2-20
R-20
P-3
PAG-8

Q-2
PGMEA
DAA





(80)
(8)

(7.6)
(2200)
(900)
























TABLE 2










Other








Base
Photoacid
photoacid







Resist
polymer
generator
generator
Quencher
Solvent 1
Solvent 2




composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)























Example
2-21
R-21
P-4
PAG-1

Q-1
PGMEA
DAA





(80)
(10)

(8.0)
(2200)
(900)



2-22
R-22
P-4
PAG-2

Q-2
PGMEA
DAA





(80)
8)

(8.0)
(2200)
(900)



2-23
R-23
P-4
PAG-5

Q-3
PGMEA
DAA





(80)
(8)

(7.8)
(2200)
(900)



2-24
R-24
P-4
PAG-9

Q-1 (4.0)
PGMEA
DAA





(80)
(10)

Q-4 (4.0)
(2200)
(900)



2-25
R-25
P-5
PAG-1

Q-1
PGMEA
DAA





(80)
(10)

(8.0)
(2200)
(900)



2-26
R-26
P-5
PAG-2

Q-2
PGMEA
DAA





(80)
(12)

(8.0)
(2200)
(900)



2-27
R-27
P-5
PAG-3

Q-3
PGMEA
DAA





(80)
(8)

(7.6)
(2200)
(900)



2-28
R-28
P-5
PAG-4

Q-3
PGMEA
DAA





(80)
(8)

(8.0)
(2200)
(900)



2-29
R-29
P-5
PAG-6
PAG-Y
Q-2
PGMEA
DAA





(80)
(6)
(4)
(8.2)
(2200)
(900)



2-30
R-30
P-5
PAG-7

Q-1
PGMEA
DAA





(80)
(10)

(7.8)
(2200)
(900)



2-31
R-31
P-5
PAG-8

Q-3 (4.0)
PGMEA
DAA





(80)
(9)

Q-4 (4.0)
(2200)
(900)



2-32
R-32
P-5
PAG-10

Q-2
PGMEA
DAA





(80)
(10)

(8.0)
(2200)
(900)



2-33
R-33
P-6
PAG-1

Q-1
PGMEA
DAA





(80)
(12)

(8.4)
(2200)
(900)



2-34
R-34
P-6
PAG-2

Q-3
PGMEA
DAA





(80)
(10)

(8.0)
(2200)
(900)



2-35
R-35
P-6
PAG-4

Q-2
PGMEA
DAA





(80)
(12)

(7.8)
(2200)
(900)



2-36
R-36
P-6
PAG-8

Q-1
PGMEA
DAA





(80)
(12)

(8.0)
(2200)
(900)
























TABLE 3










Other








Base
Photoacid
photoacid







Resist
polymer
generator
generator
Quencher
Solvent 1
Solvent 2




composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)























Comparative
1-1
CR-1 
P-1
PAG-A

Q-1
PGMEA
DAA


Example


(80)
(28)

(8.0)
(2200)
(900)



1-2
CR-2 
P-1
PAG-B

Q-1
PGMEA
DAA





(80)
(28)

(7.8)
(2200)
(900)



1-3
CR-3 
P-1
PAG-C

Q-1
PGMEA
DAA





(80)
(29)

(8.0)
(2200)
(900)



1-4
CR-4 
P-1
PAG-D

Q-1
PGMEA
DAA





(80)
(27)

(8.2)
(2200)
(900)



1-5
CR-5 
P-1
PAG-A
PAG-X
Q-2
PGMEA
DAA





(80)
(27)
(10)
(8.2)
(2200)
(900)



1-6
CR-6 
P-1
PAG-B

Q-3
PGMEA
DAA





(80)
(29)

(8.0)
(2200)
(900)



1-7
CR-7 
P-1
PAG-E

Q-3
PGMEA
DAA





(80)
(29)

(8.0)
(2200)
(900)



1-8
CR-8 
P-2
PAG-A

Q-1
PGMEA
DAA





(80
(28)

(8.0)
(2200)
(900)



1-9
CR-9 
P-2
PAG-B

Q-2
PGMEA
DAA





(80)
(28)

(8.0)
(2200)
(900)



1-10
CR-10
P-2
PAG-C

Q-3
PGMEA
DAA





(80)
(29)

(8.0)
(2200)
(900)



1-11
CR-11
P-2
PAG-D

Q-1 (4.0)
PGMEA
DAA





(80)
(27)

Q-4 (4.0)
(2200)
(900)



1-12
CR-12
P-2
PAG-E

Q-1 (4.0)
PGMEA
DAA





(80)
(26)

Q-4 (4.0)
(2200)
(900)



1-13
CR-13
P-3
PAG-A

Q-2
PGMEA
DAA





(80)
(10)

(7.6)
(2200)
(900)



1-14
CR-14
P-3
PAG-B

Q-1
PGMEA
DAA





(80)
(12)

(7.8)
(2200)
(900)



1-15
CR-15
P-3
PAG-C

Q-1
PGMEA
DAA





(80)
(8)

(8.0)
(2200)
(900)



1-16
CR-16
P-3
PAG-D

Q-3
PGMEA
DAA





(80)
(10)

(7.6)
(2200)
(900)



1-17
CR-17
P-3
PAG-E

Q-2
PGMEA
DAA





(80)
(10)

(8.0)
(2200)
(900)



1-18
CR-18
P-4
PAG-A

Q-1
PGMEA
DAA





(80)
(10)

(8.0)
(2200)
(900)



1-19
CR-19
P-4
PAG-B

Q-1
PGMEA
DAA





(80
(10)

(7.8)
(2200)
(900)



1-20
CR-20
P-4
PAG-C

Q-2
PGMEA
DAA





(80)
(8)

(8.0)
(2200)
(900)
























TABLE 4










Other








Base
Photoacid
photoacid







Resist
polymer
generator
generator
Quencher
Solvent 1
Solvent 2




composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)























Comparative
1-21
CR-21
P-4
PAG-D

Q-3
PGMEA
DAA


Example


(80)
(8)

(8.0)
(2200)
(900)



1-22
CR-22
P-4
PAG-E

Q-3
PGMEA
DAA





(80)
(8)

(8.0)
(2200)
(900)



1-23
CR-23
P-5
PAG-A

Q-2
PGMEA
DAA





(80)
(10)

(7.6)
(2200)
(900)



1-24
CR-24
P-5
PAG-B

Q-1
PGMEA
DAA





(80)
(8)

(8.0)
(2200)
(900)



1-25
CR-25
P-5
PAG-C

Q-2
PGMEA
DAA





(80)
(10)

(7.8)
(2200)
(900)



1-26
CR-26
P-5
PAG-D

Q-3
PGMEA
DAA





(80)
(8)

(7.8)
(2200)
(900)



1-27
CR-27
P-5
PAG-B

Q-1 (4.0)
PGMEA
DAA





(80)
(10)

Q-4 (4.0)
(2200)
(900)



1-28
CR-28
P-5
PAG-A
PAG-Y
Q-1
PGMEA
DAA





(80)
(6)
(4)
(7.08)
(2200)
(900)



1-29
CR-29
P-5
PAG-E

Q-1
PGMEA
DAA





(80)
(10)

(8.0)
(2200)
(900)



1-30
CR-30
P-6
PAG-A

Q-1
PGMEA
DAA





(80)
(10)

(8.0)
(2200)
(900)



1-31
CR-31
P-6
PAG-B

Q-3
PGMEA
DAA





(80)
(8)

(7.6)
(2200)
(900)



1-32
CR-32
P-6
PAG-C

Q-2
PGMEA
DAA





(80)
(10)

(8.2)
(2200)
(900)



1-33
CR-33
P-6
PAG-D

Q-2
PGMEA
DAA





(80)
(10)

(8.2)
(2200)
(900)



1-34
CR-34
P-6
PAG-E

Q-3
PGMEA
DAA





(80)
(10)

(8.2)
(2200)
(900)









The solvents, other photoacid generators PAG-X and PAG-Y, comparative photoacid generators PAG-A to PAG-E, quenchers Q-1 to Q-4, and surfactant A in Tables 1 to 4 are identified below.


Solvent:

    • PGMEA (propylene glycol monomethyl ether acetate)
    • DAA (diacetone alcohol)


Other Photoacid Generators: PAG-X and PAG-Y




embedded image


Comparative Photoacid Generators: PAG-A to PAG-E




embedded image


embedded image


Quencher: Q-1 to Q-4




embedded image


Surfactant A: 3-methyl-3-(2,2,2-trifluoroethoxymethyl)oxetane/tetrahydrofuran/2,2-dimethyl-1,3-propane diol copolymer (Omnova Solutions, Inc.)




embedded image




    • a:(b+b′):(c+c′)=1:4-7:0.01-1 (molar ratio)

    • Mw=1500





[4] EUV Lithography Test (1)
Examples 3-1 to 3-36 and Comparative Examples 2-1 to 2-34

Each of the chemically amplified resist compositions (R-1 to R-36, CR-1 to CR-34) shown in Tables 1 to 4 was spin coated on a silicon substrate having a 20-nm coating of silicon-containing spin-on hard mask SHB-A940 (Shin-Etsu Chemical Co., Ltd., silicon content 43 wt %) and prebaked on a hotplate at 100° C. for 60 seconds to form a resist film of 50 nm thick. Using an EUV scanner NXE3300 (ASML, NA 0.33, σ0.9/0.6, dipole illumination), the resist film was exposed to EUV through a mask bearing a LS pattern having a size of 18 nm and a pitch of 36 nm (on-wafer size) while varying the dose and focus (dose pitch: 1 mJ/cm2, focus pitch: 0.020 μm). The resist film was baked (PEB) on a hotplate at the temperature shown in Tables 5 and 6 for 60 seconds and puddle developed in a 2.38 wt % TMAH aqueous solution for 30 seconds, rinsed with a rinse fluid containing surfactant, and spin dried to form a positive pattern.


The LS pattern as developed was observed under CD-SEM (CG6300, Hitachi High-Technologies Corp.) whereupon sensitivity, EL, LWR, DOF and collapse limit were evaluated by the following methods. The results are shown in Tables 5 and 6.


Evaluation of Sensitivity


The optimum dose Eop (mJ/cm2) which provided a LS pattern with a line width of 18 nm and a pitch of 36 nm was determined as an index of sensitivity. A smaller value indicates higher sensitivity.


Evaluation of EL


The exposure dose which provided a LS pattern with a space width of 18 nm±10% (i.e., 16.2 to 19.8 nm) was determined. EL (%) is calculated from the exposure doses according to the following equation. A greater value indicates better performance.





EL (%)=(|E1−E2|/Eop)×100

    • wherein E1 is an optimum exposure dose which provides a LS pattern with a line width of 16.2 nm and a pitch of 36 nm,
    • E2 is an optimum exposure dose which provides a LS pattern with a line width of 19.8 nm and a pitch of 36 nm, and
    • Eop is an optimum exposure dose which provides a LS pattern with a line width of 18 nm and a pitch of 36 nm.


Evaluation of LWR


For the LS pattern formed by exposure at the optimum dose Eop, the line width was measured at 10 longitudinally spaced apart points, from which a 3-fold value (3σ) of the standard deviation (σ) was determined and reported as LWR. A smaller value of 3σ indicates a pattern having small roughness and uniform line width.


Evaluation of DOF


As an index of DOF, a range of focus which provided a LS pattern with a size of 18 nm±10% (i.e., 16.2 to 19.8 nm) was determined. A greater value indicates a wider DOF.


Evaluation of Collapse Limit of Line Pattern


For the LS pattern formed by exposure at the dose corresponding to the optimum focus, the line width was measured at 10 longitudinally spaced apart points. The minimum line size above which lines could be resolved without collapse was determined and reported as collapse limit. A smaller value indicates better collapse limit.

















TABLE 5







Resist
PEB temp.
Sensitivity
EL
LWR
DOF
Collapse limit




composition
(° C.)
(mJ/cm2)
(%)
(nm)
(nm)
(nm)























Example
3-1
R-1 
100
40
19
2.6
120
11



3-2
R-2 
100
41
18
2.8
120
10.7



3-3
R-3 
100
41
17
2.7
120
10.8



3-4
R-4 
95
42
18
2.8
110
10.8



3-5
R-5 
100
41
18
2.7
110
11.1



3-6
R-6 
100
40
18
2.9
120
10.9



3-7
R-7 
100
41
19
3
110
10.7



3-8
R-8 
95
42
18
2.8
120
11.3



3-9
R-9 
100
41
17
2.8
110
11.2



3-10
R-10
100
39
18
2.9
120
11.5



3-11
R-11
95
41
18
2.8
110
11.1



3-12
R-12
95
42
19
3
120
11.2



3-13
R-13
100
41
17
2.7
120
10.9



3-14
R-14
100
39
19
2.9
110
10.8



3-15
R-15
95
40
18
2.7
120
11.4



3-16
R-16
100
39
19
2.8
120
11.2



3-17
R-17
100
39
17
2.7
110
11.2



3-18
R-18
95
38
18
2.8
100
10.8



3-19
R-19
100
40
17
2.9
120
10.9



3-20
R-20
100
39
19
2.7
120
10.9



3-21
R-21
100
40
18
2.7
110
10.7



3-22
R-22
95
38
19
2.8
110
11.4



3-23
R-23
100
40
18
2.9
100
11.2



3-24
R-24
100
38
18
2.7
120
10.7



3-25
R-25
95
39
17
2.8
120
11



3-26
R-26
100
38
18
2.9
110
10.8



3-27
R-27
95
38
17
2.8
120
11.2



3-28
R-28
100
40
19
2.7
110
10.9



3-29
R-29
100
40
19
2.8
120
11.3



3-30
R-30
95
38
18
2.8
120
10.8



3-31
R-31
100
39
19
2.8
110
11.1



3-32
R-32
100
39
17
2.9
120
10.7



3-33
R-33
100
40
17
3
110
10.6



3-34
R-34
95
39
18
2.7
120
10.7



3-35
R-35
100
38
17
2.8
120
11



3-36
R-36
100
38
19
2.7
120
11.2
























TABLE 6







Resist
PEB temp.
Sensitivity
EL
LWR
DOF
Collapse limit




composition
(° C.)
(mJ/cm2)
(%)
(nm)
(nm)
(nm)























Comparative
2-1
CR-1 
100
47
14
3.9
60
14.8


Example
2-2
CR-2 
100
45
14
3.7
70
14.9



2-3
CR-3 
95
43
15
4
70
14.3



2-4
CR-4 
100
48
14
3.7
70
14.2



2-5
CR-5 
100
46
15
4.1
80
14.5



2-6
CR-6 
95
45
15
3.9
80
14.6



2-7
CR-7 
100
44
14
3.7
90
14.7



2-8
CR-8 
100
44
15
3.8
80
13.5



2-9
CR-9 
95
43
15
4
70
13.7



2-10
CR-10
100
45
14
3.6
70
14.1



2-11
CR-11
100
45
15
3.4
80
14.3



2-12
CR-12
100
44
15
3.4
80
14.7



2-13
CR-13
95
45
16
3.6
70
13.7



2-14
CR-14
100
45
14
4.1
80
14.3



2-15
CR-15
100
46
15
3.6
70
14.2



2-16
CR-16
95
45
16
3.5
80
14.7



2-17
CR-17
100
44
15
3.7
90
13.9



2-18
CR-18
95
44
16
3.6
70
13.7



2-19
CR-19
100
45
15
3.8
80
13.8



2-20
CR-20
95
45
14
3.7
70
14.3



2-21
CR-21
100
46
14
3.5
80
13.7



2-22
CR-22
100
44
14
3.7
70
13.5



2-23
CR-23
95
43
16
3.5
90
13.2



2-24
CR-24
100
46
15
3.4
90
13.5



2-25
CR-25
100
45
15
3.5
80
14.1



2-26
CR-26
95
45
15
3.5
70
14.2



2-27
CR-27
100
46
15
3.4
90
13.4



2-28
CR-28
100
46
14
3.6
80
13.7



2-29
CR-29
95
45
15
3.7
90
14.1



2-30
CR-30
100
44
16
3.9
70
14.3



2-31
CR-31
90
45
15
3.8
90
13.8



2-32
CR-32
95
46
15
3.7
80
13.9



2-33
CR-33
100
44
16
3.6
80
14



2-34
CR-34
95
44
14
3.5
80
14.2









It is demonstrated in Tables 5 and 6 that chemically amplified resist compositions comprising PAGs made from the onium salts within the scope of the invention exhibit a high sensitivity and improved values of EL, LWR and DOF. Small values of collapse limit attest that in forming a small-size pattern, the pattern is resistant to collapse. The chemically amplified resist compositions are useful in the EUV lithography process.


[5] EUV Lithography Test (2)
Examples 4-1 to 4-36 and Comparative Examples 3-1 to 3-34

Each of the chemically amplified resist compositions (R-1 to R-36, CR-1 to CR-34) shown in Tables 1 to 4 was spin coated on a silicon substrate having a 20-nm coating of silicon-containing spin-on hard mask SHB-A940 (Shin-Etsu Chemical Co., Ltd., silicon content 43 wt %) and prebaked on a hotplate at 105° C. for 60 seconds to form a resist film of 50 nm thick. Using an EUV scanner NXE3400 (ASML, NA 0.33, σ0.9/0.6, quadrupole illumination), the resist film was exposed to EUV through a mask bearing a hole pattern having a pitch of 46 nm+20% bias (on-wafer size). The resist film was baked (PEB) on a hotplate at the temperature shown in Tables 7 and 8 for 60 seconds and developed in a 2.38 wt % TMAH aqueous solution for 30 seconds to form a hole pattern having a size of 23 nm.


The pattern as developed was observed under CD-SEM (CG6300. Hitachi High-Technologies Corp.). The dose at which a pattern with a hole size of 23 m was printed was determined as an index of sensitivity. The size of 50 holes was measured, from which a 3-fold value (3σ) of the standard deviation (σ) was determined as a dimensional variation (or CDU). The results are shown in Tables 7 and 8.














TABLE 7







Resist
PEB temp.
Sensitivity
CDU



composition
(° C.)
(mJ/cm2)
(nm)





















Example
4-1
R-1
95
23
2.2



4-2
R-2
95
24
2.3



4-3
R-3
90
25
2.4



4-4
R-4
95
25
2.5



4-5
R-5
95
25
2.5



4-6
R-6
90
24
2.6



4-7
R-7
90
25
2.5



4-8
R-8
90
24
2.5



4-9
R-9
90
25
2.4



4-10
R-10
90
25
2.4



4-11
R-11
95
25
2.4



4-12
R-12
90
23
2.3



4-13
R-13
95
25
2.6



4-14
R-14
90
25
2.5



4-15
R-15
90
24
2.4



4-16
R-16
85
24
2.2



4-17
R-17
95
24
2.4



4-18
R-18
90
26
2.3



4-19
R-19
85
24
2.5



4-20
R-20
90
24
2.5



4-21
R-21
90
24
2.6



4-22
R-22
90
25
2.4



4-23
R-23
85
24
2.3



4-24
R-24
90
25
2.6



4-25
R-25
85
26
2.5



4-26
R-26
90
25
2.6



4-27
R-27
90
25
2.4



4-28
R-28
95
24
2.3



4-29
R-29
85
24
2.4



4-30
R-30
85
25
2.4



4-31
R-31
90
24
2.3



4-32
R-32
95
25
2.4



4-33
R-33
90
25
2.5



4-34
R-34
95
25
2.5



4-35
R-35
90
24
2.2



4-36
R-36
85
25
2.4





















TABLE 8







Resist
PEB temp.
Sensitivity
CDU



composition
(° C.)
(mJ/cm2)
(nm)





















Comparative
3-1
CR-1
95
34
3.6


Example
3-2
CR-2
95
33
3.3



3-3
CR-3
90
35
3.5



3-4
CR-4
85
34
3.1



3-5
CR-5
85
33
3.1



3-6
CR-6
85
33
3.3



3-7
CR-7
95
33
2.9



3-8
CR-8
90
35
3.1



3-9
CR-9
95
34
3.1



3-10
CR-10
85
33
3.2



3-11
CR-11
90
32
3



3-12
CR-12
90
34
2.9



3-13
CR-13
95
29
2.9



3-14
CR-14
85
28
3



3-15
CR-15
95
29
3.1



3-16
CR-16
85
28
2.8



3-17
CR-17
90
30
3.1



3-18
CR-18
90
29
2.8



3-19
CR-19
90
29
2.9



3-20
CR-20
95
29
2.8



3-21
CR-21
85
28
2.9



3-22
CR-22
85
30
3



3-23
CR-23
95
29
2.8



3-24
CR-24
95
28
3.1



3-25
CR-25
90
28
2.9



3-26
CR-26
85
29
3.1



3-27
CR-27
90
28
2.9



3-28
CR-28
90
29
2.8



3-29
CR-29
85
28
2.7



3-30
CR-30
90
27
3



3-31
CR-31
95
29
3.1



3-32
CR-32
90
30
3



3-33
CR-33
85
29
3.2



3-34
CR-34
90
29
2.9









It is demonstrated in Tables 7 and 8 that chemically amplified resist compositions comprising photoacid generators made from onium salts within the scope of the invention exhibit a high sensitivity and satisfactory CDU.


Japanese Patent Application No. 2022-165213 is incorporated herein by reference. Although some preferred embodiments have been described, many modifications and variations may be made thereto in light of the above teachings. It is therefore to be understood that the invention may be practiced otherwise than as specifically described without departing from the scope of the appended claims.

Claims
  • 1. An onium salt having the formula (1):
  • 2. The onium salt according to claim 1, wherein RAL has the formula (AL-1) or (AL-2):
  • 3. The onium salt according to claim 1, which has the formula (1A):
  • 4. The onium salt according to claim 3, which has the formula (1B):
  • 5. The onium salt according to claim 1, wherein Z+ is an onium cation having the formula (cation-1) or (cation-2):
  • 6. A photoacid generator comprising the onium salt of claim 1.
  • 7. A chemically amplified resist composition comprising the photoacid generator of claim 6.
  • 8. The chemically amplified resist composition according to claim 7, further comprising a base polymer comprising repeat units having the formula (a1):
  • 9. The chemically amplified resist composition according to claim 8, wherein the base polymer further comprises repeat units having the formula (a2):
  • 10. The chemically amplified resist composition according to claim 8, wherein the base polymer further comprises repeat units having the formula (b1) or (b2):
  • 11. The chemically amplified resist composition according to claim 8, wherein the base polymer further comprises repeat units of at least one type selected from repeat units having the formulae (c1) to (c4):
  • 12. The chemically amplified resist composition according to claim 7, further comprising an organic solvent.
  • 13. The chemically amplified resist composition according to claim 7, further comprising a quencher.
  • 14. The chemically amplified resist composition according to claim 7, further comprising another photoacid generator other than the photoacid generator.
  • 15. The chemically amplified resist composition according to claim 7, further comprising a surfactant.
  • 16. A pattern forming process comprising the steps of applying the chemically amplified resist composition of claim 7 onto a substrate to form a resist film thereon, exposing the resist film to high-energy radiation, and developing the exposed resist film in a developer.
  • 17. The pattern forming process of claim 16 wherein the high-energy radiation is KrF excimer laser radiation, ArF excimer laser radiation, EB or EUV of wavelength 3 to 15 nm.
Priority Claims (1)
Number Date Country Kind
2022-165213 Oct 2022 JP national