This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2023-048449 filed in Japan on Mar. 24, 2023, the entire contents of which are hereby incorporated by reference.
This invention relates to an onium salt monomer, polymer, chemically amplified resist composition, and patterning process.
To meet the demand for higher integration density and operating speed of LSIs, the effort to reduce the pattern rule is in rapid progress. The wide-spreading flash memory market and the demand for increased storage capacities drive forward the miniaturization technology. As the advanced miniaturization technology, manufacturing of microelectronic devices at the 65-nm node by the ArF lithography has been implemented in a mass scale. Manufacturing of 45-nm node devices by the next generation ArF immersion lithography is approaching to the verge of high-volume application. The candidates for the next generation 32-nm node include ultra-high NA lens immersion lithography using a liquid having a higher refractive index than water in combination with a high refractive index lens and a high refractive index resist film, EUV lithography of wavelength 13.5 nm, and double patterning version of the ArF lithography, on which active research efforts have been made.
As the pattern feature size is reduced, approaching to the diffraction limit of light, light contrast lowers. In the case of positive resist film, a lowering of light contrast leads to reductions of resolution and focus margin of hole and trench patterns.
As the pattern feature size is reduced, the edge roughness (LWR) of line patterns and the critical dimension uniformity (CDU) of hole patterns are regarded significant. It is pointed out that these factors are affected by the segregation or agglomeration of a base polymer and acid generator and the diffusion of generated acid. There is a tendency that as the resist film becomes thinner, LWR becomes greater. A film thickness reduction to comply with the progress of size reduction causes a degradation of LWR, which becomes a serious problem.
The EUV lithography resist must meet high sensitivity, high resolution and low LWR at the same time. As the acid diffusion distance is reduced, LWR is reduced, but sensitivity becomes lower. For example, as the PEB temperature is lowered, the outcome is a reduced LWR, but a lower sensitivity. As the amount of quencher added is increased, the outcome is a reduced LWR, but a lower sensitivity. It is necessary to overcome the tradeoff relation between sensitivity and LWR.
Patent Document 1 discloses a resist compound comprising repeat units derived from an onium salt of a polymerizable unsaturated bond-containing sulfonic acid. The so-called polymer-bound acid generator is capable of generating a polymer type sulfonic acid upon exposure and characterized by a very short distance of acid diffusion. Sensitivity may be enhanced by increasing a proportion of the acid generator. In the case of addition type acid generators, as the amount of acid generator added is increased, a higher sensitivity is achievable, but the acid diffusion distance is also increased. Since the acid diffusion is non-uniform, an increase of acid diffusion leads to degraded LWR and CDU. With respect to a balance of sensitivity, LWR and CDU, the polymer-bound acid generator is regarded as having a high capability.
As the feature size of a resist pattern is reduced in recent years, conventional resist polymers comprising repeat units derived from an onium salt of a polymerizable unsaturated bond-bearing sulfonic acid encounter problems with respect to the collapse of resist pattern in a developer during development and etching resistance after pattern formation. It is also required to control acid diffusion at a higher level. These outstanding problems must be simultaneously overcome in order to establish a satisfactory resolution for small-size patterns.
It is desired to develop an acid-catalyzed or chemically amplified resist composition exhibiting a high sensitivity, reduced LWR of line patterns, improved CDU of hole patterns, and etch resistance after pattern formation.
An object of the invention is to provide an onium salt monomer, a polymer comprising repeat units derived from the monomer, a chemically amplified resist composition comprising the polymer, and a pattern forming process using the resist composition, the resist composition exhibiting high sensitivity and contrast, improved lithography properties including exposure latitude, LWR, CDU, and DOF as well as resistance to pattern collapse and etch resistance in small-size pattern formation.
The inventors have found that using a polymer comprising repeat units derived from an onium salt containing a fluorosulfonic acid anion having a partial structure of acenaphthylene or fused ring aromatic compound as a polymer-bound acid generator, there is obtained a chemically amplified resist composition exhibiting high sensitivity, improved LWR or CDU owing to high-level control of acid diffusion, high contrast, and high resolution as well as etch resistance.
In one aspect, the invention provides an onium salt monomer having the formula (a1).
Herein n1 is an integer of 0 to 4, n2 is an integer of 0 to 4,
In a preferred embodiment, the onium salt monomer has the formula (a1-1):
In a more preferred embodiment, the onium salt monomer has the formula (a1-2):
In a preferred embodiment, Z+ is a sulfonium cation having the formula (cation-1) or iodonium cation having the formula (cation-2):
In another aspect, the invention provides a polymer comprising repeat units derived from the onium salt monomer defined above.
The polymer may further comprise repeat units having the formula (b1) or (b2).
Herein RA is each independently hydrogen, fluorine, methyl or trifluoromethyl,
The polymer may further comprise repeat units having the formula (c1).
Herein RA is hydrogen, fluorine, methyl or trifluoromethyl,
The polymer may further comprise repeat units having the formula (d1).
Herein RA is hydrogen, fluorine, methyl or trifluoromethyl,
In a further aspect, the invention provides a chemically amplified resist composition comprising (A) a base polymer containing the polymer defined herein.
The resist composition may further comprise (B) an organic solvent, (C) a quencher, (D) an acid generator, and/or (E) a surfactant.
In a still further aspect, the invention provides a process for forming a pattern comprising the steps of applying the chemically amplified resist composition defined herein to a substrate to form a resist film thereon, exposing the resist film to high-energy radiation, and developing the exposed resist film in a developer.
Preferably, the high-energy radiation is ArF excimer laser of wavelength 193 nm, KrF excimer laser of wavelength 248 nm, EB, or EUV of wavelength 3 to 15 nm.
A resist film containing a polymer comprising repeat units derived from an onium salt monomer having formula (a1) has good solvent solubility and is characterized by low acid diffusion because of the acenaphthylene structure. This prevents resolution from declining due to blur by acid diffusion and enables to improve LWR and CDU. The aromatic ring functions as an etching resistant group, which is advantageous in forming small-size patterns.
As used herein, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. “Optional” or “optionally” means that the subsequently described event or circumstances may or may not occur, and that description includes instances where the event or circumstance occurs and instances where it does not.
The notation (Cn-Cm) means a group containing from n to m carbon atoms per group. In chemical formulae, Me stands for methyl, Ac for acetyl. Both the broken line ( - - - ) and the asterisk (*) designate a point of attachment or valence bond. As used herein, the term “fluorinated” refers to a fluorine-substituted or fluorine-containing compound or group. The terms “group” and “moiety” are interchangeable.
The abbreviations and acronyms have the following meaning.
One embodiment of the invention is an onium salt monomer having the formula (a1).
In formula (a1), n1 is an integer of 0 to 4, preferably 0 to 2, more preferably 0 or 1; and n2 is an integer of 0 to 4, preferably 0 to 2, more preferably 0 or 1.
In formula (a1), RA is each independently hydrogen, fluorine, methyl or trifluoromethyl, preferably hydrogen or methyl, most preferably hydrogen.
In formula (a1), R1 is halogen or a C1-C20 hydrocarbyl group which may contain a heteroatom. Suitable halogen atoms include fluorine, chlorine, bromine, and iodine. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C20 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, undecyl, dodecyl, tridecyl, tetradecyl, pentadecyl, heptadecyl, octadecyl, nonadecyl, and icosyl; C3-C20 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclopentyl, cyclohexyl, cylopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, and adamantyl; C2-C20 alkenyl groups such as vinyl, allyl, propenyl, butenyl, and hexenyl; C3-C20 cyclic unsaturated hydrocarbyl groups such as cyclohexenyl; C6-C20 aryl groups such as phenyl and naphthyl; C7-C20 aralkyl groups such as benzyl, 1-phenylethyl, and 2-phenylethyl, and combinations thereof. Of these, aryl groups are preferred. In the hydrocarbyl group, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, cyano moiety, fluorine, chlorine, bromine, iodine, carbonyl moiety, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.
When n1 is 2 or more (i.e., n1≥2), a plurality of R1 may bond together to form a ring with the carbon atoms to which they are attached. Examples of the ring include cyclopropane, cyclobutane, cyclopentane, cyclohexane, norbornane, and adamantane rings. In the ring, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the ring may contain a hydroxy moiety, cyano moiety, fluorine, chlorine, bromine, iodine, carbonyl moiety, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.
In formula (a1), LA and LB are each independently a single bond, ether bond, ester bond, amide bond, sulfonic ester bond, carbonate bond or carbamate bond, with a single bond, ether bond or ester bond being preferred.
In formula (a1), XL is a single bond or a C1-C40 hydrocarbylene group which may contain a heteroatom. The hydrocarbylene group may be straight, branched or cyclic and examples thereof include alkanediyl groups and cyclic saturated hydrocarbylene groups. Suitable heteroatoms include oxygen, nitrogen and sulfur atoms.
Preferred examples of the optionally heteroatom-containing C1-C40 hydrocarbylene group XL are shown below. Herein * each designates a point of attachment to LA or LB.
Of these, XL-0 to XL-3, XL-29 to XL-34, and XL-47 to XL-49 are preferred, with XL-0 to XL-2, XL-29, and XL-47 being more preferred.
In formula (a1), Q1 and Q2 are each independently hydrogen, fluorine, a C1-C6 hydrocarbyl group or a C1-C6 fluorinated saturated hydrocarbyl group. Examples of the C1-C6 hydrocarbyl group include those of 1 to 6 carbon atoms selected from the above-mentioned examples of the C1-C20 hydrocarbyl group R1, but are not limited thereto. Typical of the C1-C6 fluorinated saturated hydrocarbyl group is trifluoromethyl.
In formula (a1), Q3 and Q4 are each independently fluorine or a C1-C6 fluorinated saturated hydrocarbyl group. Typical of the C1-C6 fluorinated saturated hydrocarbyl group is trifluoromethyl. More preferably both Q3 and Q4 are fluorine.
In formula (a1), n2 is an integer of 0 to 4, preferably 0 to 2, more preferably 0 or 1.
Preferred examples of the partial structure: —[C(Q1)(Q2)]n2-C(Q3)(Q4)—SO3− in formula (a1) are shown below, but not limited thereto. Herein * designates a point of attachment to LB.
Of these, Acid-1 to Acid-7 are preferred, with Acid-1 to Acid-3, Acid-6, and Acid-7 being more preferred.
Of the onium salt monomers having formula (a1), those having the formula (a1-1) are preferred.
Herein n1, n2, RA, R1, LA, LB, XL, Q1, Q2, and Z+ are as defined above.
Of the onium salt monomers having formula (a1-1), those having the formula (a1-2) are preferred.
Herein n1, n2, RA, R1, LA, XL, Q1, Q2, and Z+ are as defined above.
Examples of the anion in the onium salt monomer having formula (a1) are shown below, but not limited thereto. Herein RA and Q1 are as defined above. The positions of attachment of substituent groups on the aromatic ring are interchangeable.
In formula (a1). Z+ is an onium cation. The preferred onium cation is a sulfonium cation having the formula (cation-1) or iodonium cation having the formula (cation-2).
In formulae (cation-1) and (cation-2), Rct1 to Rct5 are each independently halogen or a C1-C30 hydrocarbyl group which may contain a heteroatom.
Suitable halogen atoms include fluorine, chlorine, bromine, and iodine.
The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C30 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, and tert-butyl; C3-C30 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclopentyl, cyclohexyl, cylopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, and adamantyl; C2-C30 alkenyl groups such as vinyl, allyl, propenyl, butenyl, and hexenyl; C3-C30 cyclic unsaturated hydrocarbyl groups such as cyclohexenyl; C6-C30 aryl groups such as phenyl, naphthyl, and thienyl; C7-C30 aralkyl groups such as benzyl, 1-phenylethyl, and 2-phenylethyl, and combinations thereof. Of these, aryl groups are preferred. In the hydrocarbyl group, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, cyano moiety, fluorine, chlorine, bromine, iodine, carbonyl moiety, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.
Also, Rct1 and Rct2 may bond together to form a ring with the sulfur atom to which they are attached. Examples of the sulfonium cation having formula (cation-1) wherein Rct1 and Rct2 form a ring are shown below.
Herein the broken line designates a point of attachment to Rct3.
Examples of the sulfonium cation having formula (cation-1) are shown below, but not limited thereto.
Examples of the iodonium cation having formula (cation-2) are shown below, but not limited thereto.
Examples of the onium salt monomer having formula (a1) include arbitrary combinations of the anion with the cation, both as exemplified above.
The onium salt monomer having formula (a1) may be synthesized, for example, by the same method as the synthesis of the sulfonium salt having a polymerizable anion described in U.S. Pat. No. 8,057,985 (JP 5201363). The synthesis method is not limited thereto.
The onium salt monomer having formula (a1) is useful as a photoacid generator bound to a polymer backbone.
Another embodiment of the invention is a polymer comprising repeat units derived from the onium salt monomer having formula (a1), which are also referred to as repeat units (a).
In a chemically amplified resist composition, the polymer is a polymer-bound photoacid generator which functions as both a photoacid generator and a base polymer. The polymer is structurally characterized by having an acenaphthylene structure as a polymerizable group. Acenaphthylene is a polycyclic aromatic hydrocarbon and has both rigidity and polymerizability. The aromatic ring directly bonded to the backbone makes the base polymer backbone rigid so that the polymer has a higher glass transition temperature (Tg). By introducing the acenaphthylene structure into the polymer backbone as a photoacid generator anion, it becomes possible to restrain the acid generated after exposure from excessive diffusion. Owing to the interaction of aromatic rings within or between the base polymer (T-T stacking effect), the base polymer is arranged in order. This ensures that when a resist film is developed in a developer to form a small-size pattern, the resist pattern is resistant to collapse. Also, in the etching step after the small-size pattern formation, the acenaphthylene structure contributes to better etching resistance. Due to the synergy of these effects, the inventive polymer is effective for restraining excessive acid diffusion, and enables to form line patterns with reduced LWR and hole patterns with improved CDU, both the patterns having collapse resistance. The inventive polymer is thus useful as one component of a chemically amplified positive resist composition.
The polymer may further comprise repeat units having the formula (b1) or repeat units having the formula (b2). These units are also referred to as repeat units (b1) and (b2).
In formulae (b1) and (b2), RA is each independently hydrogen, fluorine, methyl or trifluoromethyl.
In formula (b1), X1 is a single bond, phenylene group, naphthylene group, *—C(═O)—O—X11— or *—C(═O)—NH—X11— wherein * designates a point of attachment to the carbon atom in the backbone. The phenylene and naphthylene groups may be substituted with an optionally fluorinated C1-C10 alkoxy moiety or halogen. X11 is a C1-C10 saturated hydrocarbylene group, phenylene group or naphthylene group, and the saturated hydrocarbylene group may contain hydroxy, ether bond, ester bond or lactone ring.
In formula (b2), X2 is a single bond, *—C(═O)—O— or *—C(═O)—NH—, wherein * designates a point of attachment to the carbon atom in the backbone. R11 is halogen, cyano, a C1-C20 hydrocarbyl group which may contain a heteroatom, C1-C20 hydrocarbyloxy group which may contain a heteroatom, C2-C20 hydrocarbylcarbonyl group which may contain a heteroatom, C2-C20 hydrocarbylcarbonyloxy group which may contain a heteroatom, or C2-C20 hydrocarbyloxycarbonyl group which may contain a heteroatom, and “a” is an integer of 0 to 4, preferably 0 or 1.
In formulae (b1) and (b2), AL1 and AL2 are each independently an acid labile group. The acid labile group may be selected from a variety of such groups, for example, those groups described in JP-A 2013-080033 (U.S. Pat. No. 8,574,817) and JP-A 2013-083821 (U.S. Pat. No. 8,846,303).
Typical of the acid labile group are groups of the following formulae (AL-1) to (AL-3).
In formulae (AL-1) and (AL-2), RL1 and RL2 are each independently a C1-C40 hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen, fluorine or iodine. The hydrocarbyl group may be straight, branched or cyclic. Inter alia, C1-C20 hydrocarbyl groups are preferred.
In formula (AL-1), b is an integer of 0 to 10, preferably 1 to 5.
In formula (AL-2), RL3 and RL4 are each independently hydrogen or a C1-C20 hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen, fluorine or iodine. The hydrocarbyl group may be straight, branched or cyclic. Any two of RL2, RL3 and RL4 may bond together to form a C3-C20 ring with the carbon atom or carbon and oxygen atoms to which they are attached. The ring preferably contains 4 to 16 carbon atoms and is typically alicyclic.
In formula (AL-3), RL5, RL6 and RL7 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen, fluorine or iodine. The hydrocarbyl group may be straight, branched or cyclic. Any two of RL5, RL6 and RL7 may bond together to form a C3-C20 ring with the carbon atom to which they are attached. The ring preferably contains 4 to 16 carbon atoms and is typically alicyclic.
Examples of the repeat unit (b1) are shown below, but not limited thereto. Herein RA and AL1 are as defined above.
Examples of the repeat unit (b2) are shown below, but not limited thereto. Herein RA and AL2 are as defined above.
The base polymer may further comprise repeat units having the formula (c1), which are also referred to as repeat units (c).
In formula (c1), RA is hydrogen, fluorine, methyl or trifluoromethyl. Y1 is a single bond, *—C(═O)—O— or *—C(═O)—NH—, wherein * designates a point of attachment to the carbon atom in the backbone. R21 is halogen, nitro, cyano, a C1-C20 hydrocarbyl group which may contain a heteroatom, C1-C20 hydrocarbyloxy group which may contain a heteroatom, C2-C20 hydrocarbylcarbonyl group which may contain a heteroatom, C2-C20 hydrocarbylcarbonyloxy group which may contain a heteroatom, or C2-C20 hydrocarbyloxycarbonyl group which may contain a heteroatom; c is an integer of 0 to 4, d is an integer of 0 to 3, and c+d is from 1 to 5.
Examples of the repeat unit (c) are shown below, but not limited thereto. Herein RA is as defined above.
The base polymer may further comprise repeat units having the formula (d1), which are also referred to as repeat units (d).
In formula (d1), RA is hydrogen, fluorine, methyl or trifluoromethyl. Z1 is a single bond, phenylene group, naphthylene group, *—C(═O)—O—Z11— or *—C(═O)—NH—Z11, wherein * designates a point of attachment to the carbon atom in the backbone. The phenylene and naphthylene groups may be substituted with an optionally fluorinated C1-C10 alkoxy moiety or halogen. Z11 is a C1-C10 saturated hydrocarbylene group, phenylene group or naphthylene group, the saturated hydrocarbylene group may contain hydroxy, ether bond, ester bond or lactone ring. R31 is hydrogen, or a C1-C20 group containing at least one moiety selected from hydroxy moiety other than phenolic hydroxy, cyano moiety, carbonyl moiety, carboxy moiety, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, and carboxylic anhydride (—C(═O)—O—C(═O)—).
Examples of the repeat unit (d) are shown below, but not limited thereto. Herein RA is as defined above.
Of the repeat units (c) and (d), those units having a lactone ring are preferred in the case of ArF lithography, and those units having a phenol site are preferred in the case of KrF, EB or EUV lithography.
The polymer may further comprise repeat units (e) of a structure having a hydroxy group protected with an acid labile group. The repeat unit (e) is not particularly limited as long as the unit includes one or more structures having a hydroxy group protected with a protective group such that the protective group is decomposed to generate a hydroxy group under the action of acid. Repeat units having the formula (e1) are preferred.
In formula (e1), RA is hydrogen, fluorine, methyl or trifluoromethyl. R41 is a C1-C30 (e+1)-valent hydrocarbon group which may contain a heteroatom. R42 is an acid labile group, and e is an integer of 1 to 4.
In formula (e1), the acid labile group R42 is deprotected under the action of acid so that a hydroxy group is generated. Although the structure of R42 is not particularly limited, an acetal structure, ketal structure, alkoxycarbonyl group and alkoxymethyl group having the following formula (e2) are preferred, with the alkoxymethyl group having formula (e2) being more preferred.
Herein * designates a valence bond and R43 is a C1-C15 hydrocarbyl group.
Illustrative examples of the acid labile group R42, the alkoxymethyl group having formula (e2), and the repeat units (e) are as exemplified for the repeat units (d) in JP-A 2020-111564 (US 20200223796).
In addition to the foregoing units, the base polymer may further comprise repeat units (f) derived from indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, and norbornadiene, or derivatives thereof. Examples of the monomer from which repeat units (f) are derived are shown below, but not limited thereto.
Furthermore, the base polymer may comprise repeat units (g) derived from styrene, indane, vinylpyridine, vinylcarbazole, or derivatives thereof.
In the polymer, a fraction of units (a), (b1), (b2), (c), (d), (e), (f), and (g) is: preferably 0<a≤0.4, 0<b1≤0.8, 0≤b2≤0.8, 0<c≤0.6, 0≤d≤0.6, 0≤e≤0.3, 0≤f≤ 0.3, and 0≤ g≤0.3; more preferably 0<a≤0.3, 0<b1≤0.7, 0≤ b2≤0.7, 0<c≤0.5, 0≤ d≤0.5, 0≤ e≤0.2, 0≤ f≤0.2, and 0≤ g≤0.2, with the proviso: a+b1+b2+c+d+e+f+g≤1.
The polymer should preferably have a weight average molecular weight (Mw) in the range of 1,000 to 500,000, and more preferably 3,000 to 100,000. A Mw in the range ensures satisfactory etch resistance and eliminates the risk of resolution being lowered due to a failure to acquire a difference in dissolution rate before and after exposure. It is noted that Mw is as measured by GPC versus polystyrene standards using tetrahydrofuran (THF) or N,N-dimethylformamide (DMF) solvent.
Since the influence of dispersity (Mw/Mn) becomes stronger as the pattern rule becomes finer, the polymer should preferably have a narrow dispersity (Mw/Mn) of 1.0 to 2.0 in order to provide a resist composition suitable for micropatterning to a small feature size. A Mw/Mn in the range indicates smaller amounts of lower and higher molecular weight fractions and eliminates the risk of leaving foreign particles on the pattern or degrading the pattern profile after exposure and development.
The polymer may be synthesized by any desired methods, for example, by dissolving one or more monomers selected from the monomers corresponding to the foregoing repeat units in an organic solvent, adding a radical polymerization initiator thereto, and heating for polymerization. Examples of the organic solvent which can be used for polymerization include toluene, benzene, tetrahydrofuran (THF), diethyl ether, dioxane, cyclohexane, cyclopentane, methyl ethyl ketone (MEK), PGMEA, and GBL. Examples of the polymerization initiator used herein include 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), 1,1′-azobis(1-acetoxy-1-phenylethane), benzoyl peroxide, and lauroyl peroxide. The amount of the initiator added is preferably 0.01 to 25 mol % based on the total of monomers. The reaction temperature is preferably 50 to 150° C., more preferably 60 to 100° C. The reaction time is preferably 2 to 24 hours, a time of 2 to 12 hours being more preferred in view of production efficiency.
The polymerization initiator may be added to the monomer solution, which is fed to the reactor. Alternatively, a solution of the polymerization initiator is prepared separately from the monomer solution, and the monomer and initiator solutions are independently fed to the reactor. Since there is a possibility that the initiator generates a radical in the standby time, by which polymerization reaction takes place to form a ultrahigh molecular weight compound, it is preferred from the standpoint of quality control that the monomer solution and the initiator solution be independently prepared and added dropwise. The acid labile group that has been incorporated in the monomer may be kept as such, or the polymerization may be followed by protection or partial protection. Any of well-known chain transfer agents such as dodecylmercaptan and 2-mercaptoethanol may be used for the purpose of adjusting molecular weight. An appropriate amount of the chain transfer agent is 0.01 to 20 mol % based on the total of monomers to be polymerized.
Where a monomer having a hydroxy group is copolymerized, the hydroxy group may be replaced by an acetal group susceptible to deprotection with acid, typically ethoxyethoxy, prior to polymerization, and the polymerization be followed by deprotection with weak acid and water. Alternatively, the hydroxy group may be replaced by an acetyl, formyl, pivaloyl or similar group prior to polymerization, and the polymerization be followed by alkaline hydrolysis.
When hydroxystyrene or hydroxyvinylnaphthalene is copolymerized, an alternative method is possible. Specifically, acetoxystyrene or acetoxyvinylnaphthalene is used instead of hydroxystyrene or hydroxyvinylnaphthalene, and after polymerization, the acetoxy group is deprotected by alkaline hydrolysis, for thereby converting the polymer product to hydroxystyrene or hydroxyvinylnaphthalene. For alkaline hydrolysis, a base such as aqueous ammonia or triethylamine may be used. Preferably the reaction temperature is −20° C. to 100° C., more preferably 0° C. to 60° C., and the reaction time is 0.2 to 100 hours, more preferably 0.5 to 20 hours.
The amounts of monomers in the monomer solution may be determined appropriate so as to provide the preferred fractions of repeat units as mentioned above.
It is described how to use the polymer obtained by the above preparation method. The reaction solution resulting from polymerization reaction may be used as the final product. Alternatively, the polymer may be recovered in powder form through a purifying step such as re-precipitation step of adding the reaction solution to a poor solvent and letting the polymer precipitate as powder, after which the polymer powder is used as the final product. It is preferred from the standpoints of operation efficiency and consistent quality to handle a polymer solution which is obtained by dissolving the powder polymer resulting from the purifying step in a solvent, as the final product.
The solvents which can be used herein are described in JP-A 2008-111103, paragraphs [0144]-[0145] (U.S. Pat. No. 7,537,880). Exemplary solvents include ketones such as cyclohexanone and methyl-2-n-pentyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol; ethers such as propylene glycol monomethyl ether (PGME), ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as PGMEA, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono-tert-butyl ether acetate; lactones such as GBL; alcohols such as diacetone alcohol (DAA); and high-boiling alcohols such as diethylene glycol, propylene glycol, glycerol, 1,4-butanediol, and 1,3-butanediol, which may be used alone or in admixture.
The polymer solution preferably has a polymer concentration of 0.01 to 30% by weight, more preferably 0.1 to 20% by weight.
Prior to use, the reaction solution or polymer solution is preferably filtered through a filter. Filtration is effective for consistent quality because foreign particles and gel which can cause defects are removed.
Suitable materials of which the filter is made include fluorocarbon, cellulose, nylon, polyester, and hydrocarbon base materials. Preferred for the filtration of a resist composition are filters made of fluorocarbons commonly known as Teflon®, hydrocarbons such as polyethylene and polypropylene, and nylon. While the pore size of the filter may be selected appropriate to comply with the desired cleanness, the filter preferably has a pore size of up to 100 nm, more preferably up to 20 nm. A single filter may be used or a plurality of filters may be used in combination. Although the filtering method may be single pass of the solution, preferably the filtering step is repeated by flowing the solution in a circulating manner. In the polymer preparation process, the filtering step may be carried out any times, in any order and in any stage. The reaction solution as polymerized or the polymer solution may be filtered, preferably both are filtered.
A further embodiment of the invention is a chemically amplified resist composition comprising (A) a base polymer containing the polymer defined above.
The polymer defined above may be used alone or as a mixture of two or more polymers which are different in compositional ratio, Mw and/or Mw/Mn. In addition to the polymer, the base polymer (A) may contain a hydrogenated product of ring-opening metathesis polymerization (ROMP) polymer, which is described in JP-A 2003-066612.
The resist composition may comprise (B) an organic solvent. The organic solvent used herein is not particularly limited as long as the foregoing and other components are soluble therein. Suitable solvents include ketones such as cyclopentanone, cyclohexanone, and methyl-2-n-pentyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2-propanol; keto-alcohols such as diacetone alcohol (DAA); ethers such as propylene glycol monomethyl ether (PGME), ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono-tert-butyl ether acetate; and lactones such as γ-butyrolactone (GBL), and mixtures thereof.
Of the foregoing organic solvents, it is recommended to use 1-ethoxy-2-propanol, PGMEA, cyclohexanone, GBL, DAA, and mixtures thereof because the base polymer (A) is most soluble therein.
The organic solvent (B) is preferably added in an amount of 200 to 5,000 parts by weight, and more preferably 400 to 3,500 parts by weight per 80 parts by weight of the base polymer (A). The organic solvent may be used alone or in admixture.
The resist composition may further comprise (C) a quencher. As used herein, the “quencher” refers to a compound capable of trapping the acid generated by the PAG to prevent the acid from diffusing into the unexposed region of resist film, for forming the desired pattern.
Preferred examples of the quencher include onium salts having the formulae (1) and (2).
In formula (1), Rq1 is hydrogen or a C1-C40 hydrocarbyl group which may contain a heteroatom, exclusive of the group wherein hydrogen bonded to the carbon atom at α-position relative to the sulfo group is substituted by fluorine or fluoroalkyl. In formula (2), Rq2 is hydrogen or a C1-C40 hydrocarbyl group which may contain a heteroatom.
Examples of the C1-C40 hydrocarbyl group R91 include C1-C40 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, 2-ethylhexyl, n-nonyl, and n-decyl; C3-C40 cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo[5.2.1.02,6]decyl, and adamantyl; C6-C40 aryl groups such as phenyl, naphthyl and anthracenyl. In the hydrocarbyl group, some or all hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, fluorine, chlorine, bromine, iodine, cyano moiety, carbonyl moiety, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—), or haloalkyl moiety.
Examples of the hydrocarbyl group Rq2 include those exemplified above for Rq1 and fluorinated saturated hydrocarbyl groups, for example, fluorinated alkyl groups such as trifluoromethyl and trifluoroethyl, and fluorinated aryl groups such as pentafluorophenyl and 4-trifluoromethylphenyl.
Examples of the anion in the onium salt having formula (1) are shown below, but not limited thereto.
Examples of the anion in the onium salt having formula (2) are shown below, but not limited thereto.
In formulae (1) and (2). Mq+ is an onium cation. The onium cation is preferably selected from sulfonium cations having the above formula (cation-1), iodonium cations having the above formula (cation-2), and ammonium cations having the following formula (cation-3).
In formula (cation-3), Rct6 to Rct9 are each independently a C1-C40 hydrocarbyl group which may contain a heteroatom. A pair of Rct6 and Rct7 may bond together to form a ring with the nitrogen atom to which they are attached. Examples of the hydrocarbyl group are as exemplified above for the hydrocarbyl groups Rct1 to Rct5 in formulae (cation-1) and (cation-2).
Examples of the ammonium cation having formula (cation-3) are shown below, but not limited thereto.
Examples of the onium salt having formula (1) or (2) include arbitrary combinations of anions with cations, both as exemplified above. These onium salts may be readily synthesized by ion exchange reaction according to any well-known organic chemistry technique. For the ion exchange reaction, reference may be made to JP-A 2007-145797, for example.
The onium salt having formula (1) or (2) functions as a quencher in the resist composition because the counter anion of the onium salt is a conjugated base of a weak acid. As used herein, the weak acid indicates an acidity insufficient to deprotect an acid labile group from an acid labile group-containing unit in the base polymer. The onium salt having formula (1) or (2) functions as a quencher when used in combination with an onium salt type PAG having a conjugated base of a strong acid (typically α-fluorinated sulfonic acid) as the counter anion. In a system using a mixture of an onium salt capable of generating a strong acid (e.g., α-fluorinated sulfonic acid) and an onium salt capable of generating a weak acid (e.g., non-fluorinated sulfonic acid or carboxylic acid), if the strong acid generated from the PAG upon exposure to high-energy radiation collides with the unreacted onium salt having a weak acid anion, then a salt exchange occurs whereby the weak acid is released and an onium salt having a strong acid anion is formed. In this course, the strong acid is exchanged into the weak acid having a low catalysis, incurring apparent deactivation of the acid for enabling to control acid diffusion.
Also useful as the quencher (C) are onium salts having a sulfonium cation and a phenoxide anion site in a common molecule as described in JP 6848776, onium salts having a sulfonium cation and a carboxylate anion site in a common molecule as described in JP 6583136 and JP-A 2020-200311, and onium salts having an iodonium cation and a carboxylate anion site in a common molecule as described in JP 6274755.
If a PAG capable of generating a strong acid is an onium salt, an exchange from the strong acid generated upon exposure to high-energy radiation to a weak acid as above can take place, but it rarely happens that the weak acid generated upon exposure to high-energy radiation collides with the unreacted onium salt capable of generating a strong acid to induce a salt exchange. This is because of a likelihood of an onium cation forming an ion pair with a stronger acid anion.
When the onium salt having formula (1) or (2) is used as the quencher (C), the amount of the onium salt used is preferably 0.1 to 20 parts by weight, more preferably 0.1 to 10 parts by weight per 80 parts by weight of the base polymer (A). As long as the amount of component (C) is in the range, a satisfactory resolution is available without a substantial lowering of sensitivity. The onium salt having formula (1) or (2) may be used alone or in admixture.
Also nitrogen-containing compounds may be used as the quencher (C). Suitable nitrogen-containing compounds include primary, secondary and tertiary amine compounds, specifically amine compounds having a hydroxy group, ether bond, ester bond, lactone ring, cyano group or sulfonic ester bond, as described in JP-A 2008-111103, paragraphs [0146]-[0164] (U.S. Pat. No. 7,537,880), and primary or secondary amine compounds protected with a carbamate group, as described in JP 3790649.
A sulfonic acid sulfonium salt having a nitrogen-containing substituent may also be used as the nitrogen-containing compound. This compound functions as a quencher in the unexposed region, but as a so-called photo-degradable base in the exposed region because it loses the quencher function in the exposed region due to neutralization thereof with the acid generated by itself. Using a photo-degradable base, the contrast between exposed and unexposed regions can be further enhanced. With respect to the photo-degradable base, reference may be made to JP-A 2009-109595 and JP-A 2012-046501, for example.
When the nitrogen-containing compound is used as the quencher (C), the amount of the nitrogen-containing compound used is preferably 0.001 to 12 parts by weight, more preferably 0.01 to 8 parts by weight per 80 parts by weight of the base polymer (A). The nitrogen-containing compound may be used alone or in admixture.
The resist composition may comprise (D) an acid generator as long as the benefits of the invention are not impaired. The acid generator is typically a compound (PAG) capable of generating an acid upon exposure to actinic ray or radiation. Although the PAG used herein may be any compound capable of generating an acid upon exposure to high-energy radiation, those compounds capable of generating a sulfonic acid, imide acid (imidic acid) or methide acid are preferred. Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate acid generators.
Exemplary PAGs are described in JP-A 2008-111103, paragraphs [0122]-[0142] (U.S. Pat. No. 7,537,880).
As the PAG used herein, sulfonium salts having the formula (3-1) and iodonium salts having the formula (3-2) are also preferred.
In formulae (3-1) and (3-2), R101 to R105 are each independently halogen or a C1-C20 hydrocarbyl group which may contain a heteroatom. Examples of the halogen and hydrocarbyl group are as exemplified above for the halogen and hydrocarbyl group represented by Rct1 to Rct5 in formulae (cation-1) and (cation-2). Also included are substituted forms of the foregoing hydrocarbyl groups in which some or all of the hydrogen atoms are substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some constituent —CH2- is replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, fluorine, chlorine, bromine, iodine, cyano moiety, nitro moiety, carbonyl moiety, ether bond, ester bond, sulfonic ester bond, carbonate moiety, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety. A pair of R101 and R102 may bond together to form a ring with the sulfur atom to which they are attached. Preferred examples of the ring are as exemplified above for the ring that Rct1 and Rct2 in formula (cation-1), taken together, form with the sulfur atom to which they are attached.
Examples of the cation in the sulfonium salt having formula (3-1) are as exemplified above for the sulfonium cation having formula (cation-1). Examples of the cation in the iodonium salt having formula (3-2) are as exemplified above for the iodonium cation having formula (cation-2).
In formulae (3-1) and (3-2), Xa− is an anion selected from the following formulae (3A) to (3D).
In formula (3A), Rfa is fluorine or a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as will be exemplified later for hydrocarbyl group Rfa1 in formula (3A′).
Of the anions of formula (3A), a structure having formula (3A′) is preferred.
In formula (3A′), RHF is hydrogen or trifluoromethyl, preferably trifluoromethyl.
Rfa1 is a C1-C38 hydrocarbyl group which may contain a heteroatom. Suitable heteroatoms include oxygen, nitrogen, sulfur and halogen, with oxygen being preferred. Of the hydrocarbyl groups, those of 6 to 30 carbon atoms are preferred because a high resolution is available in fine pattern formation. The hydrocarbyl group Rfa1 may be saturated or unsaturated and straight, branched or cyclic. Suitable hydrocarbyl groups include C1-C38 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, pentyl, neopentyl, hexyl, heptyl, 2-ethylhexyl, nonyl, undecyl, tridecyl, pentadecyl, heptadecyl, icosyl; C3-C38 cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, 1-adamantyl, 2-adamantyl, 1-adamantylmethyl, norbornyl, norbornylmethyl, tricyclodecyl, tetracyclododecyl, tetracyclododecylmethyl, dicyclohexylmethyl; C2-C38 unsaturated aliphatic hydrocarbyl groups such as allyl and 3-cyclohexenyl; C6-C38 aryl groups such as phenyl, 1-naphthyl, 2-naphthyl; C7-C38 aralkyl groups such as benzyl and diphenylmethyl; and combinations thereof.
In these groups, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, fluorine, chlorine, bromine, iodine, cyano, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety. Of the heteroatoms, oxygen is preferred. Examples of the heteroatom-containing hydrocarbyl group include tetrahydrofuryl, methoxymethyl, ethoxymethyl, methylthiomethyl, acetamidomethyl, trifluoroethyl, (2-methoxyethoxy)methyl, acetoxymethyl, 2-carboxy-1-cyclohexyl, 2-oxopropyl, 4-oxo-1-adamantyl, and 3-oxocyclohexyl.
With respect to the synthesis of the sulfonium salt having an anion of formula (3A′), reference is made to JP-A 2007-145797, JP-A 2008-106045, JP-A 2009-007327, and JP-A 2009-258695. Also useful are the sulfonium salts described in JP-A 2010-215608, JP-A 2012-041320, JP-A 2012-106986, and JP-A 2012-153644.
Examples of the anion having formula (3A) are shown below, but not limited thereto.
In formula (3B), Rfb1 and Rfb2 are each independently fluorine or a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Suitable hydrocarbyl groups are as exemplified above for Rfa1 in formula (3A′). Preferably Rfb1 and Rfb2 each are fluorine or a straight C1-C4 fluorinated alkyl group. A pair of Rfb1 and Rfb2 may bond together to form a
In formula (3C), Rfc1, Rfc2 and Rfc3 are each independently fluorine or a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Suitable hydrocarbyl groups are as exemplified above for Rfa1 in formula (3A′). Preferably Rfc1, Rfc2 and Rfc3 each are fluorine or a straight C1-C4 fluorinated alkyl group. A pair of Rfc1 and Rfc2 may bond together to form a ring with the linkage (—CF2—SO2—N−—SO2—CF2—) to which they are attached, and the Rfc1-Rfc2 group is preferably a fluorinated ethylene or fluorinated propylene group.
In formula (3D), Rfd is a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Suitable hydrocarbyl groups are as exemplified above for Rfa1.
With respect to the synthesis of the sulfonium salt having an anion of formula (3D), reference is made to JP-A 2010-215608 and JP-A 2014-133723.
Examples of the anion having formula (3D) are shown below, but not limited thereto.
The compound having the anion of formula (3D) has a sufficient acid strength to cleave acid labile groups in the base polymer because it is free of fluorine at α-position of sulfo group, but has two trifluoromethyl groups at β-position. Thus the compound is a useful PAG.
Also compounds having the formula (4) are useful as the PAG.
In formula (4), R201 and R202 are each independently a C1-C30 hydrocarbyl group which may contain a heteroatom. R203 is a C1-C30 hydrocarbylene group which may contain a heteroatom. Any two of R201, R202, and R203 may bond together to form a ring with the sulfur atom to which they are attached. Exemplary rings are the same as described above for the ring that Rct1 and Rct2 in formula (cation-1), taken together, form with the sulfur atom to which they are attached.
The C1-C30 hydrocarbyl groups R201 and R202 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C30 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, 2-ethylhexyl, n-nonyl, and n-decyl; C3-C30 cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, oxanorbornyl, tricyclo[5.2.1.02,6]decyl, and adamantyl; C6-C30 aryl groups such as phenyl, methylphenyl, ethylphenyl, n-propylphenyl, isopropylphenyl, n-butylphenyl, isobutylphenyl, sec-butylphenyl, tert-butylphenyl, naphthyl, methylnaphthyl, ethylnaphthyl, n-propylnaphthyl, isopropylnaphthyl, n-butylnaphthyl, isobutylnaphthyl, sec-butylnaphthyl, tert-butylnaphthyl, and anthracenyl; and combinations thereof. In the hydrocarbyl group, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, cyano, fluorine, chlorine, bromine, iodine, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.
The C1-C30 hydrocarbylene group R203 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C30 alkanediyl groups such as methanediyl, ethane-1,1-diyl, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, heptane-1,7-diyl, octane-1,8-diyl, nonane-1,9-diyl, decane-1,10-diyl, undecane-1,11-diyl, dodecane-1,12-diyl, tridecane-1,13-diyl, tetradecane-1,14-diyl, pentadecane-1,15-diyl, hexadecane-1,16-diyl, and heptadecane-1,17-diyl; C3-C30 cyclic saturated hydrocarbylene groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantanediyl; C6-C30 arylene groups such as phenylene, methylphenylene, ethylphenylene, n-propylphenylene, isopropylphenylene, n-butylphenylene, isobutylphenylene, sec-butylphenylene, tert-butylphenylene, naphthylene, methylnaphthylene, ethylnaphthylene, n-propylnaphthylene, isopropylnaphthylene, n-butylnaphthylene, isobutylnaphthylene, sec-butylnaphthylene and tert-butylnaphthylene; and combinations thereof. In the hydrocarbylene groups, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, cyano, fluorine, chlorine, bromine, iodine, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety. Of the heteroatoms, oxygen is preferred.
In formula (4), LA is a single bond, ether bond or a C1-C20 hydrocarbylene group which may contain a heteroatom. The hydrocarbylene group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for R 203.
In formula (4), XA, XB, XC and XD are each independently hydrogen, fluorine or trifluoromethyl, with the proviso that at least one of XA, XB, XC and XD is fluorine or trifluoromethyl.
In formula (4), k is an integer of 0 to 3.
Of the PAGs having formula (4), those having formula (4′) are preferred.
In formula (4′), LC is as defined above. RHF is hydrogen or trifluoromethyl, preferably trifluoromethyl. R301, R302 and R303 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for Rfa1 in formula (3A′). The subscripts x and y are each independently an integer of 0 to 5, and z is an integer of 0 to 4.
Examples of the PAG having formula (4) are as exemplified for the PAG having formula (2) in JP-A 2017-026980.
Of the foregoing PAGs, those having an anion of formula (3A′) or (3D) are especially preferred because of reduced acid diffusion and high solubility in the solvent. Also those having formula (4′) are especially preferred because of extremely reduced acid diffusion.
Also a sulfonium or iodonium salt having an anion containing an iodized aromatic ring may be used as the PAG. Suitable are sulfonium and iodonium salts having the formulae (5-1) and (5-2).
In formulae (5-1) and (5-2), p is an integer of 1 to 3, q is an integer of 1 to 5, and r is an integer of 0 to 3, and 1≤ q+r≤5. Preferably, q is 1, 2 or 3, more preferably 2 or 3, and r is 0, 1 or 2.
L1 is a single bond, ether bond, ester bond, or a C1-C6 saturated hydrocarbylene group which may contain an ether bond or ester bond. The saturated hydrocarbylene group may be straight, branched or cyclic.
L2 is a single bond or a C1-C20 divalent linking group when p is 1, and a C1-C20 (p+1)-valent linking group which may contain oxygen, sulfur or nitrogen when p is 2 or 3.
R401 is a hydroxy group, carboxy group, fluorine, chlorine, bromine, amino group, or a C1-C20 hydrocarbyl, C1-C20 hydrocarbyloxy, C2-C20 hydrocarbylcarbonyl, C2-C20 hydrocarbyloxycarbonyl, C2-C20 hydrocarbylcarbonyloxy or C1-C20 hydrocarbylsulfonyloxy group, which may contain fluorine, chlorine, bromine, hydroxy, amino or ether bond, or —N(R401A)(R401B), —N(R401C)—C(═O)—R401D or —N(R401C)—C(═O)—O—R401D. R401A and R401B are each independently hydrogen or a C1-C6 saturated hydrocarbyl group. R401C is hydrogen or a C1-C6 saturated hydrocarbyl group which may contain halogen, hydroxy, C1-C6 saturated hydrocarbyloxy, C2-C6 saturated hydrocarbylcarbonyl or C2-C6 saturated hydrocarbylcarbonyloxy moiety. R401D is a C1-C16 aliphatic hydrocarbyl group, C6-C14 aryl group or C7-C15 aralkyl group, which may contain halogen, hydroxy, C1-C6 saturated hydrocarbyloxy, C2-C6 saturated hydrocarbylcarbonyl or C2-C6 saturated hydrocarbylcarbonyloxy moiety. The aliphatic hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. The hydrocarbyl, hydrocarbyloxy, hydrocarbylcarbonyl, hydrocarbyloxycarbonyl, hydrocarbylcarbonyloxy, and hydrocarbylsulfonyloxy groups may be straight, branched or cyclic. A plurality of groups R401 may be the same or different when p and/or r is 2 or more. Of these, R401 is preferably hydroxy, —N(R401C)—C(═O)—R401D, —N(R401C)—C(═O)—O—R401D, fluorine, chlorine, bromine, methyl or methoxy.
In formulae (5-1) and (5-2), Rf11 to Rf14 are each independently hydrogen, fluorine or trifluoromethyl, at least one of Rf11 to Rf14 is fluorine or trifluoromethyl. Rf11 and Rf12, taken together, may form a carbonyl group. Preferably, both Rf13 and Rf14 are fluorine.
R402 to R406 are each independently halogen or a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include those exemplified above for the hydrocarbyl groups Rct1 to Rct5 in formulae (cation-1) and (cation-2). In these groups, some or all of the hydrogen atoms may be substituted by hydroxy, carboxy, halogen, cyano, nitro, mercapto, sultone, sulfo, or sulfonium salt-containing moieties, and some constituent —CH2— may be replaced by an ether bond, ester bond, carbonyl moiety, amide bond, carbonate bond or sulfonic ester bond. R402 and R403 may bond together to form a ring with the sulfur atom to which they are attached. Exemplary rings are the same as described above for the ring that Rct1 and Rct2 in formula (cation-1), taken together, form with the sulfur atom to which they are attached.
Examples of the cation in the sulfonium salt having formula (5-1) include those exemplified above as the sulfonium cation having formula (cation-1). Examples of the cation in the iodonium salt having formula (5-2) include those exemplified above as the iodonium cation having formula (cation-2).
Examples of the anion in the onium salts having formulae (5-1) and (5-2) are shown below, but not limited thereto.
When used, the acid generator (D) is preferably added in an amount of 0.1 to 40 parts, and more preferably 0.5 to 20 parts by weight per 80 parts by weight of the base polymer (A). An amount of the acid generator (D) in the range ensures good resolution and eliminates the risk of leaving foreign particles after development or during separation of resist film. The acid generator may be used alone or in admixture of two or more.
The resist composition may further comprise (E) a surfactant. It is typically a surfactant which is insoluble or substantially insoluble in water and alkaline developer, or a surfactant which is insoluble or substantially insoluble in water and soluble in alkaline developer. For the surfactant, reference should be made to those compounds described in JP-A 2010-215608 and JP-A 2011-016746.
While many examples of the surfactant which is insoluble or substantially insoluble in water and alkaline developer are described in the patent documents cited herein, preferred examples are fluorochemical surfactants FC-4430 (3M), Olfine® E1004 (Nissin Chemical Co., Ltd.), Surflon® S-381, KH-20 and KH-30 (AGC Seimi Chemical Co., Ltd.). Partially fluorinated oxetane ring-opened polymers having the formula (surf-1) are also useful.
It is provided herein that R, Rf, A, B, C, m, and n are applied to only formula (surf-1), independent of their descriptions other than for the surfactant. R is a di- to tetra-valent C2-C5 aliphatic group. Exemplary divalent aliphatic groups include ethylene, 1,4-butylene, 1,2-propylene, 2,2-dimethyl-1,3-propylene and 1,5-pentylene. Exemplary tri- and tetra-valent groups are shown below.
Herein the broken line denotes a valence bond. These formulae are partial structures derived from glycerol, trimethylol ethane, trimethylol propane, and pentaerythritol, respectively. Of these, 1,4-butylene and 2,2-dimethyl-1,3-propylene are preferred.
Rf is trifluoromethyl or pentafluoroethyl, and preferably trifluoromethyl. The subscript m is an integer of 0 to 3, n is an integer of 1 to 4, and the sum of m and n, which represents the valence of R, is an integer of 2 to 4. “A” is equal to 1, B is an integer of 2 to 25, and C is an integer of 0 to 10. Preferably, B is an integer of 4 to 20, and C is 0 or 1. Note that the formula (surf-1) does not prescribe the arrangement of respective constituent units while they may be arranged either blockwise or randomly. For the preparation of surfactants in the form of partially fluorinated oxetane ring-opened polymers, reference should be made to U.S. Pat. No. 5,650,483, for example.
The surfactant which is insoluble or substantially insoluble in water and soluble in alkaline developer is useful when ArF immersion lithography is applied to the resist composition in the absence of a resist protective film. In this embodiment, the surfactant has a propensity to segregate on the surface of a resist film for achieving a function of minimizing water penetration or leaching. The surfactant is also effective for preventing water-soluble components from being leached out of the resist film for minimizing any damage to the exposure tool. The surfactant becomes solubilized during alkaline development following exposure and PEB, and thus forms few or no foreign particles which become defects. The preferred surfactant is a polymeric surfactant which is insoluble or substantially insoluble in water, but soluble in alkaline developer, also referred to as “hydrophobic resin” in this sense, and especially which is water repellent and enhances water sliding.
Suitable polymeric surfactants include those containing repeat units of at least one type selected from the formulae (6A) to (6E).
In formulae (6A) to (6E), RB is hydrogen, fluorine, methyl or trifluoromethyl. W1 is —CH2—, —CH2CH2—, —O—, or two separate —H. Rs1 is each independently hydrogen or a C1-C10 hydrocarbyl group. Rs2 is a single bond or a C1-C5 straight or branched hydrocarbylene group. Rs3 is each independently hydrogen, a C1-C15 hydrocarbyl or fluorinated hydrocarbyl group, or an acid labile group. When Rs3 is a hydrocarbyl or fluorinated hydrocarbyl group, an ether bond or carbonyl moiety may intervene in a carbon-carbon bond. Rs4 is a C1-C20 (u+1)-valent hydrocarbon or fluorinated hydrocarbon group, and u is an integer of 1 to 3. Rs5 is each independently hydrogen or a group: —C(═O)—O—Rsa wherein Rsa is a C1-C20 fluorinated hydrocarbyl group. Rs6 is a C1-C15 hydrocarbyl or fluorinated hydrocarbyl group in which an ether bond or carbonyl moiety may intervene in a carbon-carbon bond.
The hydrocarbyl group Rs1 is preferably saturated while it may be straight, branched or cyclic. Examples thereof include C1-C10 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-heptyl, n-octyl, n-nonyl and n-decyl, and C3-C10 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, adamantyl and norbornyl. Inter alia, C1-C6 groups are preferred.
The hydrocarbylene group Rs2 is preferably saturated while it may be straight, branched or cyclic. Examples thereof include methylene, ethylene, propylene, butylene, and pentylene.
The hydrocarbyl group Rs3 or Rs6 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include saturated hydrocarbyl groups and aliphatic unsaturated hydrocarbyl groups such as alkenyl and alkynyl groups, with the saturated hydrocarbyl groups being preferred. Suitable saturated hydrocarbyl groups include those exemplified for the hydrocarbyl group represented by Rs1 as well as undecyl, dodecyl, tridecyl, tetradecyl, and pentadecyl. Examples of the fluorinated hydrocarbyl group represented by Rs3 or Rs6 include the foregoing hydrocarbyl groups in which some or all carbon-bonded hydrogen atoms are substituted by fluorine atoms. In these groups, an ether bond or carbonyl moiety may intervene in a carbon-carbon bond as mentioned above.
Examples of the acid labile group represented by Rs3 include groups of the above formulae (AL-3) to (AL-5), trialkylsilyl groups in which each alkyl moiety has 1 to 6 carbon atoms, and C4-C20 oxoalkyl groups.
The (u+1)-valent hydrocarbon or fluorinated hydrocarbon group represented by Rs4 may be straight, branched or cyclic, and examples thereof include the foregoing hydrocarbyl or fluorinated hydrocarbyl groups from which “u” number of hydrogen atoms are eliminated.
The fluorinated hydrocarbyl group represented by Rsa is preferably saturated while it may be straight, branched or cyclic. Examples thereof include the foregoing hydrocarbyl groups in which some or all hydrogen atoms are substituted by fluorine atoms. Illustrative examples include trifluoromethyl, 2,2,2-trifluoroethyl, 3,3,3-trifluoro-1-propyl, 3,3,3-trifluoro-2-propyl, 2,2,3,3-tetrafluoropropyl, 1,1,1,3,3,3-hexafluoroisopropyl, 2,2,3,3,4,4,4-heptafluorobutyl, 2,2,3,3,4,4,5,5-octafluoropentyl, 2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoroheptyl, 2-(perfluorobutyl)ethyl, 2-(perfluorohexyl)ethyl, 2-(perfluorooctyl)ethyl, and 2-(perfluorodecyl)ethyl.
Examples of the repeat units having formulae (6A) to (6E) are shown below, but not limited thereto. Herein RB is as defined above.
The polymeric surfactant may further contain repeat units other than the repeat units having formulae (6A) to (6E). Typical other repeat units are those derived from methacrylic acid and α-trifluoromethylacrylic acid derivatives. In the polymeric surfactant, the content of the repeat units having formulae (6A) to (6E) is preferably at least 20 mol %, more preferably at least 60 mol %, most preferably 100 mol % of the overall repeat units.
Preferably the polymeric surfactant has a Mw of 1,000 to 500,000, more preferably 3,000 to 100,000 and a Mw/Mn of 1.0 to 2.0, more preferably 1.0 to 1.6.
The polymeric surfactant may be synthesized, for example, by dissolving an unsaturated bond-containing monomer or monomers, from which repeat units having formulae (6A) to (6E) and optional other repeat units are derived, in an organic solvent, adding a radical initiator, and heating for polymerization. Suitable organic solvents used herein include toluene, benzene, THF, diethyl ether, and dioxane. Examples of the polymerization initiator used herein include AIBN, 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), benzoyl peroxide, and lauroyl peroxide. Preferably the reaction temperature is 50 to 100° C. and the reaction time is 4 to 24 hours. The acid labile group that has been incorporated in the monomer may be kept as such, or the polymer may be protected or partially protected therewith at the end of polymerization.
During the synthesis of the polymeric surfactant, any of well-known chain transfer agents such as dodecylmercaptan and 2-mercaptoethanol may be used for the purpose of adjusting molecular weight. An appropriate amount of the chain transfer agent is 0.01 to 10 mol % based on the total moles of monomers to be polymerized.
When the resist composition contains the surfactant (E), it is preferably used in an amount of 0.1 to 50 parts by weight, more preferably 0.5 to 10 parts by weight per 80 parts by weight of the base polymer (A). As long as the amount of the surfactant is at least 0.1 part by weight, the receding contact angle of resist film surface with water is fully improved. As long as the amount of the surfactant is up to 50 parts by weight, the dissolution rate of resist film surface in developer is so low that the resulting small-size pattern may maintain a sufficient height. The surfactant (E) may be used alone or in admixture.
The resist composition may further include a dissolution inhibitor. In the case of positive resist compositions, the inclusion of a dissolution inhibitor may lead to an increased difference in dissolution rate between exposed and unexposed areas and a further improvement in resolution.
The dissolution inhibitor which can be used herein is a compound having at least two phenolic hydroxy groups on the molecule, in which an average of from 0 to 100 mol % of all the hydrogen atoms on the phenolic hydroxy groups are replaced by acid labile groups or a compound having at least one carboxy group on the molecule, in which an average of 50 to 100 mol % of all the hydrogen atoms on the carboxy groups are replaced by acid labile groups, both the compounds having a molecular weight of 100 to 1,000, and preferably 150 to 800. Typical are bisphenol A, trisphenol, phenolphthalein, cresol novolac, naphthalenecarboxylic acid, adamantanecarboxylic acid, and cholic acid derivatives in which the hydrogen atom on the hydroxy or carboxy group is replaced by an acid labile group, as described in U.S. Pat. No. 7,771,914 (JP-A 2008-122932, paragraphs [0155]-[0178]).
In the resist composition, the dissolution inhibitor (F) is preferably added in an amount of 0 to 50 parts, more preferably 5 to 40 parts by weight per 80 parts by weight of the base polymer (A).
In addition to the foregoing components, the resist composition may further contain (G) another component, for example, a compound which is decomposed with an acid to generate another acid (i.e., acid amplifier compound), organic acid derivative, fluorinated alcohol, and water repellency improver. Each additional component may be used alone or in admixture of two or more.
The acid amplifier compound is described in JP-A 2009-269953 and JP-A 2010-215608. The acid amplifier compound is preferably used in an amount of 0 to 5 parts, more preferably 0 to 3 parts by weight per 80 parts by weight of the base polymer (A). An extra amount of the acid amplifier compound can make the acid diffusion control difficult and cause degradations to resolution and pattern profile. With respect to the organic acid derivative and fluorinated alcohol, reference should be made to JP-A 2009-269953 and JP-A 2010-215608.
The water repellency improver may be used in the topcoatless immersion lithography. Suitable water repellency improvers include polymers having a fluoroalkyl group and polymers having a specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue and are described in JP-A 2007-297590 and JP-A 2008-111103, for example. The water repellency improver to be added to the resist composition should be soluble in alkaline developers and organic solvent developers. The water repellency improver of specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue is well soluble in the developer. A polymer comprising repeat units having an amino group or amine salt serves as the water repellency improver and is effective for preventing evaporation of acid during PEB, thus preventing any hole pattern opening failure after development. An appropriate amount of the water repellency improver is 0 to 20 parts, preferably 0.5 to 10 parts by weight per 80 parts by weight of the base polymer (A).
The chemically amplified resist composition is used in the fabrication of various integrated circuits. Pattern formation using the resist composition may be performed by well-known lithography processes. The process generally involves the steps of applying the resist composition onto a substrate to form a resist film thereon, exposing the resist film to high-energy radiation, and developing the exposed resist film in a developer. If necessary, any additional steps may be added.
For example, the resist composition is first applied onto a substrate on which an integrated circuit is to be formed (e.g., Si, SiO2, SiN, SiON, TIN, WSi, BPSG, SOG, or organic antireflective coating) or a substrate on which a mask circuit is to be formed (e.g., Cr, CrO, CrON, MoSi2, or SiO2) by a suitable coating technique such as spin coating, roll coating, flow coating, dipping, spraying or doctor coating. The coating is prebaked on a hotplate at a temperature of preferably 60 to 150° C. for 10 seconds to 30 minutes, more preferably at 80 to 120° C. for 30 seconds to 20 minutes. The resulting resist film is generally 0.01 to 2.0 μm thick.
Then the resist film is exposed to high-energy radiation. Examples of the high-energy radiation include UV, deep-UV, EB, EUV of wavelength 3 to 15 nm, x-ray, soft x-ray, excimer laser light, γ-ray or synchrotron radiation. On use of UV, deep UV, EUV, x-ray, soft x-ray, excimer laser, γ-ray or synchrotron radition, the resist film is exposed directly or through a mask having a desired pattern, preferably in a dose of about 1 to 200 mJ/cm2, more preferably about 10 to 100 mJ/cm2. On use of EB, a pattern may be written directly or through a mask having a desired pattern, preferably in a dose of about 0.1 to 100 μC/cm2, more preferably about 0.5 to 50 μC/cm2. The resist composition is suited for micropatterning using high-energy radiation such as KrF excimer laser of wavelength 248 nm, ArF excimer laser of wavelength 193 nm, EB, EUV of wavelength 3 to 15 nm, x-ray, soft x-ray, γ-ray or synchrotron radiation.
After the exposure, the resist film may be baked (PEB) on a hotplate at 60 to 150° C. for 10 seconds to 30 minutes, preferably at 80 to 120° C. for 30 seconds to 20 minutes.
After the exposure or PEB, the resist film is developed with a developer in the form of an aqueous base solution for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes by conventional techniques such as dip, puddle and spray techniques. A typical developer is a 0.1 to 10 wt %, preferably 2 to 5 wt % aqueous solution of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), or tetrabutylammonium hydroxide (TBAH). The resist film in the exposed area is dissolved in the developer whereas the resist film in the unexposed area is not dissolved. In this way, the desired positive pattern is formed on the substrate.
In an alternative embodiment, a negative pattern may be formed via organic solvent development. The developer used herein is preferably selected from among 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isopentyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, and 2-phenylethyl acetate, and mixtures thereof.
At the end of development, the resist film is rinsed. As the rinsing liquid, a solvent which is miscible with the developer and does not dissolve the resist film is preferred. Suitable solvents include alcohols of 3 to 10 carbon atoms, ether compounds of 8 to 12 carbon atoms, alkanes, alkenes, and alkynes of 6 to 12 carbon atoms, and aromatic solvents. Specifically, suitable alcohols of 3 to 10 carbon atoms include n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, t-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, t-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, and 1-octanol. Suitable ether compounds of 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-s-butyl ether, di-n-pentyl ether, diisopentyl ether, di-s-pentyl ether, di-t-pentyl ether, and di-n-hexyl ether. Suitable alkanes of 6 to 12 carbon atoms include hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, cyclooctane, and cyclononane. Suitable alkenes of 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, and cyclooctene. Suitable alkynes of 6 to 12 carbon atoms include hexyne, heptyne, and octyne. Suitable aromatic solvents include toluene, xylene, ethylbenzene, isopropylbenzene, t-butylbenzene and mesitylene. The solvents may be used alone or in admixture.
Rinsing is effective for minimizing the risks of resist pattern collapse and defect formation. However, rinsing is not essential. If rinsing is omitted, the amount of solvent used may be reduced.
A hole or trench pattern after development may be shrunk by the thermal flow, RELACS® or DSA process. A hole pattern is shrunk by coating a shrink agent thereto, and baking such that the shrink agent may undergo crosslinking at the resist surface as a result of the acid catalyst diffusing from the resist layer during bake, and the shrink agent may attach to the sidewall of the hole pattern. The bake is preferably at a temperature of 70 to 180° C., more preferably 80 to 170° C., for a time of 10 to 300 seconds. The extra shrink agent is stripped and the hole pattern is shrunk.
Examples of the invention are given below by way of illustration and not by way of limitation. The abbreviation “pbw” is parts by weight. Analysis is made by time-of-flight mass spectrometry (TOF-MS) using the instrument: MALDI TOF-MS S3000 by JEOL Ltd.
In nitrogen atmosphere, a reactor was charged with 7.9 g of reactant SM-1, 15.9 g of reactant SM-2, 0.5 g of 4-dimethylaminopyridine (DMAP), and 70 g of methylene chloride and cooled in an ice bath. While the internal temperature was maintained below 20° C., 9.2 g of 1-ethyl-3-(3-dimethylaminopropyl)carbodiimide hydrochloride was added in powder form. At the end of addition, the reaction mixture was warmed up to room temperature and aged for 12 hours. At the end of aging, 50 g of water was added to quench the reaction. This was followed by ordinary aqueous work-up, solvent distillation, and recrystallization from diisopropyl ether. Intermediate In-1 was obtained as white crystals (amount 19.3 g, yield 86%).
In nitrogen atmosphere, a reactor was charged with 19.3 g of Intermediate In-1, 11.9 g of reactant SM-3, 100 g of methyl isobutyl ketone, and 70 g of water. The mixture was stirred for 30 minutes. The organic layer was taken out, washed with water, and concentrated under reduced pressure. The target compound, Monomer a-1 was obtained as oily matter (amount 22.0 g, yield 93%).
Onium salt Monomers a-2 to a-7, shown below, were synthesized using the corresponding reactants and well-known organic synthesis reaction.
Comparative Monomers ca-1 to ca-4, shown below, were synthesized using the corresponding reactants and well-known organic synthesis reaction.
Base polymers were synthesized using Monomers a-1 to a-7. Comparative Monomers ca-1 to ca-4, and other monomers as shown below.
A flask under nitrogen atmosphere was charged with 15.7 g of Monomer a-1, 18.8 g of Monomer b1-1, 5.5 g of Monomer c-1, 1.76 g of V-601 (dimethyl 2,2′-azobis(2-methylpropionate) by Fujifilm Wako Pure Chemical Corp.), and 50 g of MEK to form a monomer/initiator solution. Another flask under nitrogen atmosphere was charged with 19 g of MEK, which was heated at 80° C. with stirring. The monomer/initiator solution was added dropwise to the MEK over 4 hours. At the end of addition, the polymerization solution was continuously stirred for 2 hours while maintaining the temperature at 80° C. The polymerization solution was cooled to room temperature, after which it was added dropwise to 800 g of hexane with vigorous stirring. The precipitate was collected by filtration. The precipitate was washed twice with 240 g of hexane and vacuum dried at 50° C. for 20 hours, obtaining Polymer P-1 as white powder. Amount 39.2 g, yield 98%. Polymer P-1 had a Mw of 9,700 and a Mw/Mn of 1.82. It is noted that Mw is measured by GPC versus polystyrene standards using DMF solvent.
Polymers shown in Tables 1 and 2 were synthesized by the same procedure as in Example 2-1 except that the type and amount (blending ratio) of monomers were changed.
A chemically amplified resist composition (R-1 to R-20, CR-1 to CR-10) was prepared by dissolving an inventive base polymer (P-1 to P-20) or comparative base polymer (CP-1 to CP-10), acid generator (PAG-1, PAG-2), and quencher (SQ-1 to SQ-3, AQ-1) in an organic solvent containing 0.01 wt % of surfactant FC-4430 (3M) in accordance with the formulation shown in Tables 3 and 4, and filtering the solution through a Teflon® filter with a pore size of 0.2 μm.
The components in Tables 3 and 4 are identified below.
Each of the chemically amplified resist compositions (R-1 to R-20, CR-1 to CR-10 in Tables 3 and 4) was spin coated on a silicon substrate having a 20-nm coating of silicon-containing spin-on hard mask SHB-A940 (Shin-Etsu Chemical Co., Ltd., silicon content 43 wt %) and prebaked on a hotplate at 100° C. for 60 seconds to form a resist film of 50 nm thick. Using an EUV scanner NXE3300 (ASML, NA 0.33, σ 0.9/0.6, dipole illumination), the resist film was exposed to EUV through a mask bearing a line-and-space (LS) pattern having a width of 18 nm and a pitch of 36 nm (on-wafer size) while changing the dose at a pitch of 1 mJ/cm2 and the focus at a pitch of 0.020 μm. The resist film was baked (PEB) at the temperature shown in Tables 5 and 6 for 60 seconds. This was followed by puddle development in a 2.38 wt % TMAH aqueous solution for 30 seconds, rinsing with a surfactant-containing rinse fluid, and spin drying. A positive LS pattern was obtained.
The LS pattern was observed under CD-SEM (CG6300, Hitachi High-Technologies Corp.) and evaluated for sensitivity, exposure latitude (EL), LWR, depth of focus (DOF), and collapse limit by the following methods. The results are shown in Tables 5 and 6.
The optimum dose Eop (mJ/cm2) which provided an LS pattern with a line width of 18 nm and a pitch of 36 nm was determined and reported as sensitivity. A smaller value indicates a higher sensitivity.
The exposure dose which provided a LS pattern with a space width of 18 nm±10% (i.e., 16.2 to 19.8 nm) was determined. EL (%) is calculated from the exposure doses according to the following equation:
For the LS pattern formed by exposure at the optimum dose Eop, the line width was measured at 10 longitudinally spaced apart points, from which a 3-fold value (36) of the standard deviation (σ) was determined and reported as LWR. A smaller value of 30 indicates a pattern having small roughness and uniform line width.
As an index of DOF, a range of focus which provided a LS pattern with a size of 18 nm±10% (i.e., 16.2 to 19.8 nm) was determined. A greater value indicates a wider DOF.
For the LS pattern formed by exposure at the dose corresponding to the optimum focus, the line width was measured at 10 longitudinally spaced apart points. The minimum line size above which lines could be resolved without collapse was determined and reported as collapse limit. A smaller value indicates better collapse limit.
As seen from Tables 5 and 6, resist compositions comprising polymers obtained from onium salt monomers within the scope of the invention exhibit a high sensitivity and improved lithography properties including EL, LWR and DOF. Small values of collapse limit show that small-size patterns have resistance to collapse. It is demonstrated that the chemically amplified resist compositions within the scope of the invention are suited for the EUV lithography process.
Each of the chemically amplified resist compositions (R-1 to R-20, CR-1 to CR-10 in Tables 3 and 4) was spin coated on a silicon substrate having a 20-nm coating of silicon-containing spin-on hard mask SHB-A940 (Shin-Etsu Chemical Co., Ltd., silicon content 43 wt %) and prebaked on a hotplate at 105° C. for 60 seconds to form a resist film of 50 nm thick. Using an EUV scanner NXE3300 (ASML, NA 0.33, σ 0.9/0.6, dipole illumination), the resist film was exposed to EUV through a mask bearing a hole pattern having a pitch of 46 nm+20% bias (on-wafer size). The resist film was baked (PEB) on a hotplate at the temperature shown in Tables 7 and 8 for 60 seconds. This was followed by development in a 2.38 wt % TMAH aqueous solution for 30 seconds. Hole patterns with a size of 23 nm were obtained.
The hole pattern was observed under CD-SEM (CG6300, Hitachi High-Technologies Corp.). The exposure dose Eop that provides a hole pattern having a size of 23 nm was determined and reported as sensitivity. The size of 50 holes at that dose was measured, from which a 3-fold value (3σ) of the standard deviation (σ) was computed and reported as CDU. The results are also shown in Tables 7 and 8.
It is demonstrated in Tables 7 and 8 that chemically amplified resist compositions within the scope of the invention exhibit a high sensitivity and improved CDU.
Each of the polymers (Polymers P-1 to P-20, Comparative Polymers CP-1 to CP-10 in Tables 1 and 2), 2 g, was thoroughly dissolved in 10 g of cyclohexanone, and passed through a filter having a pore size of 0.2 μm, obtaining a polymer solution. The polymer solution was spin coated onto a silicon substrate and baked to form a polymer film of 300 nm thick. Using a dry etching instrument TE-8500P (Tokyo Electron Ltd.), the polymer film was etched with CHF3/CF4 gas under the following conditions.
The difference in polymer film thickness before and after etching was determined, from which an etching rate per minute was computed. The results are shown in Tables 9 and 10. A smaller value of film thickness difference, i.e., a lower etching rate indicates better etch resistance.
It is evident from Tables 9 and 10 that the inventive polymers have good dry etch resistance, i.e., resistance to CHF3/CF4 gas etching.
Japanese Patent Application No. 2023-048449 is incorporated herein by reference. Although some preferred embodiments have been described, many modifications and variations may be made thereto in light of the above teachings. It is therefore to be understood that the invention may be practiced otherwise than as specifically described without departing from the scope of the appended claims.
Number | Date | Country | Kind |
---|---|---|---|
2023-048449 | Mar 2023 | JP | national |